<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> fpga-nios

          基于FPGA的輪詢合路的設計和實現(xiàn)

          • 針對高密度接口設計中基于字節(jié)處理和整包處理的轉換問題,本文提出了分片輪詢調度和改進式欠賬輪詢調度相結合的調度策略
          • 關鍵字: FPGA  輪詢合路    

          基于FPGA的位寬可擴展多路組播復制的實現(xiàn)

          • 用VHDL語言在FPGA內部編程實現(xiàn)組播復制。本文介紹其實現(xiàn)方法,并給出了時序仿真波形。通過擴展,該設計可以支持多位寬、多路復制,因而具有較好的應用前景。
          • 關鍵字: FPGA  位寬  多路    

          具有多個電壓軌的FPGA和DSP電源設計實例(二)

          • 電子產(chǎn)品世界,為電子工程師提供全面的電子產(chǎn)品信息和行業(yè)解決方案,是電子工程師的技術中心和交流中心,是電子產(chǎn)品的市場中心,EEPW 20年的品牌歷史,是電子工程師的網(wǎng)絡家園
          • 關鍵字: DSP  電源設計  FPGA  德州儀器  

          具有多個電壓軌的FPGA和DSP電源設計實例(一)

          • 電子產(chǎn)品世界,為電子工程師提供全面的電子產(chǎn)品信息和行業(yè)解決方案,是電子工程師的技術中心和交流中心,是電子產(chǎn)品的市場中心,EEPW 20年的品牌歷史,是電子工程師的網(wǎng)絡家園
          • 關鍵字: DSP  電源設計  FPGA  

          基于FPGA的二值圖像連通域標記快速算法實現(xiàn)

          • 摘  要:針對高速圖像目標實時識別和跟蹤任務,需要利用系統(tǒng)中有限的硬件資源實現(xiàn)高速、準確的二值圖像連通域標記,提出了一種適合FPGA實現(xiàn)的二值圖像連通域標記快速算法。算法以快捷、有效的方式識別、并記錄區(qū)域間復雜的連通關系。與傳統(tǒng)的二值圖像標記算法相比,該算法具有運算簡單性、規(guī)則性和可擴展性的特點。利用FPGA實現(xiàn)該算法時,能夠準確有效的識別出圖像中復雜的連通關系,產(chǎn)生正確的標記結果。在100MHz工作時鐘下,處理384
          • 關鍵字: FPGA  單片機  二值圖像連通域標記  嵌入式系統(tǒng)  

          基于FPGA的高速可變周期脈沖發(fā)生器的設計

          • 1 引 言 要求改變脈沖周期和輸出脈沖個數(shù)的脈沖輸出電路模塊在許多工業(yè)領域都有運用。采用數(shù)字器件設計周期和輸出個數(shù)可調節(jié)的脈沖發(fā)生模塊是方便可行的。為了使之具有高速、靈活的優(yōu)點,本文采用Atelra公司的可編程芯片F(xiàn)PGA設計了一款周期和輸出個數(shù)可變的脈沖發(fā)生器。經(jīng)過板級調試獲得良好的運行效果。 2 總體設計思路 脈沖的周期由高電平持續(xù)時間與低電平持續(xù)時間共同構成,為了改變周期,采用兩個計數(shù)器來分別控制高電平持續(xù)時間和低電平持續(xù)時間。計數(shù)器采用可并行加載初始值的N位減法計數(shù)器。設定:當要求的高電平
          • 關鍵字: FPGA  單片機  脈沖發(fā)生器  嵌入式系統(tǒng)  

          FPGA所需的電源供應:深入分析

          • 電子產(chǎn)品世界,為電子工程師提供全面的電子產(chǎn)品信息和行業(yè)解決方案,是電子工程師的技術中心和交流中心,是電子產(chǎn)品的市場中心,EEPW 20年的品牌歷史,是電子工程師的網(wǎng)絡家園
          • 關鍵字: 美國國家半導體公司  FPGA  DC/DC  

          基于FPGA的IDE硬盤接口卡的實現(xiàn)

          • 引言 本文采用FPGA實現(xiàn)了IDE硬盤接口協(xié)議。系統(tǒng)提供兩套符合ATA-6規(guī)范的IDE接口,一個與普通IDE硬盤連接,另一個與計算機主板上的IDE接口相連。系統(tǒng)采用FPGA實現(xiàn)接口協(xié)議,完成接口數(shù)據(jù)的截獲、處理(在本文中主要是數(shù)據(jù)加密)和轉發(fā),支持PIO和Ultra DMA兩種數(shù)據(jù)傳輸模式。下面重點介紹用FPGA實現(xiàn)接口協(xié)議的方法。 1 IDE接口協(xié)議簡介 1.1 IDE接口引腳定義 IDE(Integrated Drive Electronics)即“電子集成驅動器”,又稱為ATA接口。表1列
          • 關鍵字: FPGA  IDE硬盤  單片機  嵌入式系統(tǒng)  存儲器  

          基于ARM和FPGA的多功能車輛總線嵌入式系統(tǒng)設計

          • 基于ARM和FPGA的多功能車輛總線嵌入式系統(tǒng)設計,本文介紹了一種基于ARM和FPGA,從軟件到硬件完全自主開發(fā)多功能車輛總線(Multifunction Vehicle Bus)MVB嵌入式系統(tǒng)的設計和實現(xiàn)。
          • 關鍵字: 嵌入式  系統(tǒng)  設計  總線  多功能車  ARM  FPGA  基于  

          賽靈思推出新型完整FPGA解決方案

          •   賽靈思公司宣布推出支持DDR2 SDRAM接口的低成本Spartan™-3A FPGA開發(fā)套件、支持多種高性能存儲器接口(I/Fs)的Virtex™-5 FPGA 開發(fā)平臺(ML-561) ,以及存儲器接口生成器(MIG)軟件1.7版本。這些完整的解決方案使FPGA用戶能夠快速實施并驗證在不同數(shù)據(jù)速率和總線寬度下的專用存儲器接口設計,從而加快產(chǎn)品的上市時間。   這些包括器件特性描述、數(shù)據(jù)輸入電路以及存儲器控制器的解決方案,均已在使用了美光科技公司(Micron Techn
          • 關鍵字: FPGA  單片機  嵌入式系統(tǒng)  賽靈思  

          賽靈思推出65nm FPGA一周年:VIRTEX-5 FPGA率先實現(xiàn)量產(chǎn)

          •   賽靈思公司日前隆重宣布,其屢獲殊榮的65nm Virtex-5 FPGA系列兩款器件LX50 和 LX50T最先實現(xiàn)量產(chǎn)。自2006年5月15日推出65nm Virtex-5 FPGA平臺以來,賽靈思目前已向市場發(fā)售了三款平臺(LX、LXT和SXT)的13種器件,它們?yōu)榭蛻籼峁┝藷o需任何折衷的業(yè)界最高的性能、最低的功耗, 并擁有業(yè)界唯一內建的PCI Express®™ 端點和千兆以太網(wǎng)模塊,以及業(yè)界最高的DSP性能。   賽靈思公司高級產(chǎn)品部執(zhí)行副總裁Iain Morris 表示
          • 關鍵字: 65nm  FPGA  VIRTEX-5  單片機  嵌入式系統(tǒng)  賽靈思  

          功率分配系統(tǒng)(PDS)設計:利用旁路電容/去耦電容(一)

          • 電子產(chǎn)品世界,為電子工程師提供全面的電子產(chǎn)品信息和行業(yè)解決方案,是電子工程師的技術中心和交流中心,是電子產(chǎn)品的市場中心,EEPW 20年的品牌歷史,是電子工程師的網(wǎng)絡家園
          • 關鍵字: DSP  FPGA  PDS  VCC  RLC  ESR  

          基于現(xiàn)場可編程門陣列(FPGA)技術的射頻讀卡器設計

          • 電子產(chǎn)品世界,為電子工程師提供全面的電子產(chǎn)品信息和行業(yè)解決方案,是電子工程師的技術中心和交流中心,是電子產(chǎn)品的市場中心,EEPW 20年的品牌歷史,是電子工程師的網(wǎng)絡家園
          • 關鍵字: XPS  FPGA  DAC  Xilinx  GSRD  RFID  CPLD  ASK  CRC  UID  

          什么是FPGA?

          • 什么是可編程邏輯? 在數(shù)字電子系統(tǒng)領域,存在三種基本的器件類型:存儲器、微處理器和邏輯器件。存儲器用來存儲隨機信息,如數(shù)據(jù)表或數(shù)據(jù)庫的內容。微處理器執(zhí)行軟件指令來完成范圍廣泛的任務,如運行字處理程序或視頻游戲。邏輯器件提供特定的功能,包括器件與器件間的接口、數(shù)據(jù)通信、信號處理、數(shù)據(jù)顯示、定時和控制操作、以及系統(tǒng)運行所需要的所有其它功能。  固定邏輯與可編程邏輯 邏輯器件可分為兩大類 – 固定邏輯器件和可編程邏輯器件。正如其命名一樣,固定邏輯器件中的電路是永久性的,它們完成一種
          • 關鍵字: FPGA  FPGA專題  

          基于FPGA的RISC微處理器的設計與實現(xiàn)

          • 基于FPGA和電子設計自動化技術,采用模塊化設計的方法和VHDL語言,設計一個基于FPGA的RISC微處理器。
          • 關鍵字: FPGA  RISC  微處理器    
          共6475條 413/432 |‹ « 411 412 413 414 415 416 417 418 419 420 » ›|

          fpga-nios介紹

          您好,目前還沒有人創(chuàng)建詞條fpga-nios!
          歡迎您創(chuàng)建該詞條,闡述對fpga-nios的理解,并與今后在此搜索fpga-nios的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹莓派    linux   
          關于我們 - 廣告服務 - 企業(yè)會員服務 - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權所有 北京東曉國際技術信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();