<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁 >> 主題列表 >> fpga-pwm

          基于FPGA的FIR數(shù)字濾波器設(shè)計(jì)與仿真

          •   實(shí)現(xiàn)數(shù)字化是控制系統(tǒng)的重要發(fā)展方向,而數(shù)字信號(hào)處理已在通信、語音、圖像、自動(dòng)控制、雷達(dá)、軍事、航空航天等領(lǐng)域廣泛應(yīng)用。數(shù)字信號(hào)處理方法通常涉及變換、濾波、頻譜分析、編碼解碼等處理。數(shù)字濾波是重要環(huán)節(jié),它能滿足濾波器對(duì)幅度和相位特性的嚴(yán)格要求,克服模擬濾波器所無法解決的電壓和溫度漂移以及噪聲等問題。而有限沖激響應(yīng)FIR濾波器在設(shè)計(jì)任意幅頻特性的同時(shí)能夠保證嚴(yán)格的線性相位特性。利用FPGA可以重復(fù)配置高精度的FIR濾波器,使用VHDL硬件描述語言改變?yōu)V波器的系數(shù)和階數(shù),并能實(shí)現(xiàn)大量的卷積運(yùn)算算法。結(jié)合MA
          • 關(guān)鍵字: FPGA  FIR  數(shù)字濾波器  

          基于XC2V1000型FPGA的FIR抽取濾波器的設(shè)計(jì)

          •   1 引言   抽取濾波器廣泛應(yīng)用在數(shù)字接收領(lǐng)域,是數(shù)字下變頻器的核心部分。目前,抽取濾波器的實(shí)現(xiàn)方法有3種:?jiǎn)纹ㄓ脭?shù)字濾波器集成電路、DSP和可編程邏輯器件。使用單片通用數(shù)字濾波器很方便,但字長(zhǎng)和階數(shù)的規(guī)格較少,不能完全滿足實(shí)際需要。使用DSP雖然簡(jiǎn)單,但程序要順序執(zhí)行,執(zhí)行速度必然慢?,F(xiàn)場(chǎng)可編程門陣列(FPGA)有著規(guī)整的內(nèi)部邏輯陣列和豐富的連線資源,特別適用于數(shù)字信號(hào)處理,但長(zhǎng)期以來,用FPGA實(shí)現(xiàn)抽取濾波器比較復(fù)雜,其原因主要是FPGA中缺乏實(shí)現(xiàn)乘法運(yùn)算的有效結(jié)構(gòu)?,F(xiàn)在,FPGA集成了乘法器
          • 關(guān)鍵字: FPGA  抽取濾波器  

          二維FIR濾波器的FPGA實(shí)現(xiàn)

          •   O 引言   二維有限長(zhǎng)單位脈沖響應(yīng)濾波器(2D—FIR)用于對(duì)二維信號(hào)的處理,如在通信領(lǐng)域中廣泛采用2D-FIR完成對(duì)I、Q兩支路基帶信號(hào)的濾波[1]。由于涉及大量復(fù)數(shù)運(yùn)算并且實(shí)時(shí)性要求高,如果不對(duì)算法作優(yōu)化在技術(shù)上很難實(shí)現(xiàn)。目前主要設(shè)計(jì)方案是利用FPGA廠商提供的一維FIR知識(shí)產(chǎn)權(quán)核(IP),組成二維濾波器[2]。這種方案沒有考濾復(fù)數(shù)運(yùn)算的特點(diǎn),不可能在算法上優(yōu)化,而且IP核的內(nèi)部代碼是不可修改的,因此在不同廠商的器件上不可移植。2D_FIR的復(fù)數(shù)運(yùn)算都需轉(zhuǎn)成實(shí)數(shù)運(yùn)算來實(shí)現(xiàn)的,而其中
          • 關(guān)鍵字: FIR濾波器  FPGA  

          基于FPGA分布式算法的低通FIR濾波器的設(shè)計(jì)與實(shí)現(xiàn)

          •   0 引言   傳統(tǒng)數(shù)字濾波器硬件的實(shí)現(xiàn)主要采用專用集成電路(ASIC)和數(shù)字信號(hào)處理器(DSP)來實(shí)現(xiàn)。FPGA內(nèi)部的功能塊中采用了SRAM的查找表(lo-ok up table,LUT)結(jié)構(gòu),這種結(jié)構(gòu)特別適用于并行處理結(jié)構(gòu),相對(duì)于傳統(tǒng)方法來說,其并行度和擴(kuò)展性都很好,它逐漸成為構(gòu)造可編程高性能算法結(jié)構(gòu)的新選擇。   分布式算法是一種適合FPGA設(shè)計(jì)的乘加運(yùn)算,由于FPGA中硬件乘法器資源有限,直接應(yīng)運(yùn)乘法會(huì)消耗大量的資源。本文利用了豐富的存儲(chǔ)器資源進(jìn)行查找表運(yùn)算,設(shè)計(jì)了一種基于分布式算法低通FI
          • 關(guān)鍵字: FPGA  濾波器  DSP  

          基于FPGA 的FIR 數(shù)字濾波器設(shè)計(jì)方案

          •   本文簡(jiǎn)要介紹了FIR數(shù)字濾波器的結(jié)構(gòu)特點(diǎn)和基本原理,提出基于FPGA和DSP Builder的FIR數(shù)字濾波器的基本設(shè)計(jì)流程和實(shí)現(xiàn)方案。   在Matlab/Simulink環(huán)境下,采用DSP Builder模塊搭建FIR模型,根據(jù)FDATool工具對(duì)FIR濾波器進(jìn)行了設(shè)計(jì),然后進(jìn)行系統(tǒng)級(jí)仿真和ModelSim功能仿真,其仿真結(jié)果表明其數(shù)字濾波器的濾波效果良好。通過SignalCompiler把模型轉(zhuǎn)換成VHDL語言加入到FPGA的硬件設(shè)計(jì)中,從QuartusⅡ軟件中的虛擬邏輯分析工具SignalT
          • 關(guān)鍵字: FPGA  FIR  數(shù)字濾波器  

          零基礎(chǔ)學(xué)FPGA(五)Verilog語法基基礎(chǔ)基礎(chǔ)(下)

          •   9、關(guān)于任務(wù)和函數(shù)的小結(jié),挑幾點(diǎn)重要的說一下吧   (1)任務(wù)具有多個(gè)輸入、輸入/輸出和輸出變量,在任務(wù)重可以使用延遲、事件和時(shí)序控制結(jié)構(gòu),在任務(wù)重可以調(diào)用其它任務(wù)和函數(shù)。與任務(wù)不同,函數(shù)具有返回值,而且至少要有一個(gè)輸入變量,而且在函數(shù)中不能使用延遲、事件和時(shí)序控制結(jié)構(gòu),函數(shù)可以條用函數(shù),但是不能調(diào)用任務(wù)。   (2)在聲明函數(shù)時(shí),系統(tǒng)會(huì)自動(dòng)的生成一個(gè)寄存器變量,函數(shù)的返回值通過這個(gè)寄存器返回到調(diào)用處。   (3)函數(shù)和任務(wù)都包含在設(shè)計(jì)層次中,可以通過層次名對(duì)他們實(shí)行調(diào)用。這句話什么意思啊?
          • 關(guān)鍵字: FPGA  Verilog  

          零基礎(chǔ)學(xué)FPGA(四)Verilog語法基基礎(chǔ)基礎(chǔ)(中)

          •   我們接著上篇文章繼續(xù)學(xué)習(xí),上次提到了兩種賦值語句,讓我們接著往下學(xué)。   1、塊語句   塊語句包括兩種,一個(gè)是順序塊,一個(gè)是并行塊。   (1)順序快   順序快就好比C語言里的大括號(hào)“{ }”,在Verilog語法中,用begin…end代替。這里只需要知道,在begin…end中間的語句是順序執(zhí)行的就行了。   (2)并行塊   并行塊可以算是一個(gè)新的知識(shí)點(diǎn),與順序塊最大的不同就是并行塊中的語句是同時(shí)開始執(zhí)行的,要想控制語句的先后順
          • 關(guān)鍵字: FPGA  Verilog  

          零基礎(chǔ)學(xué)FPGA(三)Verilog語法基基礎(chǔ)基礎(chǔ)(上)

          •   這幾天復(fù)習(xí)了一下Verilog的語法知識(shí),就借此寫寫我對(duì)這些東西的想法吧。感覺呢,是和C語言差不多,具有C語言基礎(chǔ)的朋友學(xué)起來應(yīng)該沒什么問題,和C語言相同的地方就不說了吧,重點(diǎn)說一下不同點(diǎn)吧。   1、模塊的結(jié)構(gòu)   模塊呢,是Verilog的基本設(shè)計(jì)單元,它主要是由兩部分組成,一個(gè)是接口,另一個(gè)是邏輯。下面舉一個(gè)小例子說明一下:   module xiaomo (a,b,c,d);   input a,b;   output c,d;   assign c=a|b;   assign
          • 關(guān)鍵字: FPGA  Verilog   

          示波器高刷新率是如何煉成的

          •   之前有一篇文章提到《為何示波器廠商從不提及刷新率》,講述了市面上各示波器廠商在刷新率參數(shù)上的市場(chǎng)現(xiàn)狀。而很多示波器用戶無不關(guān)心示波器的刷新率指標(biāo),近期我司FAE在與客戶交流時(shí),很多客戶對(duì)ZDS2022示波器具有33萬次幀/秒的高刷新率很感興趣,這樣高的刷新率到底是怎樣做出來的呢?   什么是波形刷新率?   波形刷新率又叫波形捕獲率,指的是每秒鐘波形刷新的次數(shù),表示為波形數(shù)每秒(wfms/s)。事實(shí)上,示波器從采集信號(hào)到屏幕上顯示出信號(hào)波形的過程,是由若干個(gè)捕獲周期組成的。一個(gè)捕獲周期包括采樣時(shí)間
          • 關(guān)鍵字: 示波器  ZDS2022  FPGA  

          基于MSP430自動(dòng)往返小車的設(shè)計(jì)

          •   設(shè)計(jì)了一個(gè)自動(dòng)往返和智能控制的小車。采用MSP430F149為核心芯片,選用雙全橋驅(qū)動(dòng)芯片作為小車電機(jī)驅(qū)動(dòng),利用PWM技術(shù)動(dòng)態(tài)控制電動(dòng)機(jī)的轉(zhuǎn)速,紅外線光電傳感器檢測(cè)標(biāo)識(shí)線,U型紅外光電傳感器測(cè)量路程。MCU判斷和處理各種傳感器傳回的信息,向電機(jī)驅(qū)動(dòng)器發(fā)出指令,控制小車在往返過程中實(shí)現(xiàn)自動(dòng)加速、限速、減速、剎車、倒車和在液晶顯示器上顯示行駛時(shí)間,行駛路程等相關(guān)數(shù)據(jù)。   智能小車,是一種以汽車電子為背景,涵蓋智能控制、模式識(shí)別、傳感技術(shù)、電子電氣、計(jì)算機(jī)、機(jī)械等多學(xué)科的科技創(chuàng)意性設(shè)計(jì)。全國電子大賽和省
          • 關(guān)鍵字: MSP430  PWM  傳感器  

          基于FPGA的無損圖像壓縮系統(tǒng)設(shè)計(jì)

          •   摘要:本文簡(jiǎn)要介紹了圖像壓縮的重要性和常用的無損圖像壓縮算法,分析了快速高效無損圖像壓縮算法(FELICS)的優(yōu)勢(shì),隨后詳細(xì)分析了該算法的編碼步驟和硬件實(shí)現(xiàn)方案,最后公布了基于該方案的FPGA性能指標(biāo)。和其他壓縮算法相比該方案可極大地減小無損圖像壓縮系統(tǒng)所需的存儲(chǔ)空間和壓縮時(shí)間。   引言   隨著信息技術(shù)的巨大革新,數(shù)據(jù)存儲(chǔ)和傳輸開始在人類生活中變得越來越重要,數(shù)據(jù)壓縮技術(shù)因而應(yīng)運(yùn)而生,它不僅能減少數(shù)據(jù)存儲(chǔ)所需的空間還可以緩解傳輸帶寬的壓力。數(shù)據(jù)壓縮可以分為有損壓縮和無損壓縮兩種,其中有損壓縮技
          • 關(guān)鍵字: FPGA  圖像壓縮  像素點(diǎn)  GOLOMB-RICE  存儲(chǔ)器  201501  

          一款基于ARM的多自由度人形教育機(jī)器人控制系統(tǒng)的設(shè)計(jì)

          •   摘要:針對(duì)以往教育機(jī)器人自由度少、控制不靈活、教育功能弱的缺點(diǎn),使用高集成度ARM芯片設(shè)計(jì)了一個(gè)簡(jiǎn)潔的17自由度人形機(jī)器人控制系統(tǒng)。規(guī)劃了機(jī)器人的軟硬件結(jié)構(gòu),設(shè)計(jì)了控制電路和驅(qū)動(dòng)系統(tǒng),采用1個(gè)定時(shí)器產(chǎn)生17路PWM驅(qū)動(dòng)信號(hào)。重點(diǎn)以實(shí)例講解了波形產(chǎn)生及驅(qū)動(dòng)函數(shù)的編寫方法和技巧。設(shè)計(jì)的機(jī)器人能夠完成整套體操運(yùn)動(dòng)。這種方法在多自由度機(jī)器人操控和教學(xué)中具有廣泛的借鑒意義。   1 系統(tǒng)方案設(shè)計(jì)   人形機(jī)器人控制系統(tǒng)包括機(jī)器人控制器和機(jī)器人遙控器兩部分。其中控制器以飛利浦公司的ARM7處理器為核心,包含1
          • 關(guān)鍵字: ARM  機(jī)器人  PWM  伺服器  LPC2114  201501  

          2015:工業(yè)與汽車電子展望

          •   摘要:通過走訪部分電機(jī)驅(qū)動(dòng)、汽車電子、測(cè)試測(cè)量的領(lǐng)先廠商,展望了相關(guān)領(lǐng)域的發(fā)展趨勢(shì)。   電機(jī)驅(qū)動(dòng)的關(guān)鍵詞:高效、一對(duì)多和遠(yuǎn)程控制   縱觀2014年,電機(jī)控制的發(fā)展速度雖然不像消費(fèi)品那樣迅猛,但是一直在不斷進(jìn)步,比如近兩年大熱的FOC控制和家電變頻化,以及因傳感器的一些弊端引發(fā)的無傳感器控制需求,業(yè)界都有很強(qiáng)烈的興趣。   Microchips公司16位單片機(jī)產(chǎn)品部產(chǎn)品營(yíng)銷經(jīng)理Erlendur Kristjansson指出,在接下來幾年,采用梯形波或6步逆變器控制的BLDC電機(jī)正轉(zhuǎn)向依靠無傳感
          • 關(guān)鍵字: 汽車電子  電機(jī)驅(qū)動(dòng)  MCU  FPGA  201501  

          2015:物聯(lián)網(wǎng)引領(lǐng)芯片廠商創(chuàng)新

          •   摘要:通過對(duì)部分行業(yè)有代表性的芯片和軟件廠商的走訪,折射了2015年及今后物聯(lián)網(wǎng)芯片的技術(shù)和產(chǎn)品走勢(shì)。包括從技術(shù)上,不可忽略大數(shù)據(jù)的分析/云計(jì)算。對(duì)部分芯片廠商來說,實(shí)際上更關(guān)心每個(gè)小數(shù)據(jù)的收集是否安全、可靠。另外,物聯(lián)網(wǎng)對(duì)傳感器、傳感器樞紐芯片等提出了挑戰(zhàn),并需要良好的能量采集芯片,也需要系統(tǒng)更加節(jié)能。物聯(lián)網(wǎng)的熱門研發(fā)領(lǐng)域是可穿戴,需要芯片在性能、小型化等方面進(jìn)行創(chuàng)新。   IoT帶來兩個(gè)意想不到的趨勢(shì)   Altera公司總裁、CEO兼董事會(huì)主席John Daane:當(dāng)我們展望2015年時(shí),發(fā)
          • 關(guān)鍵字: 物聯(lián)網(wǎng)  以太網(wǎng)  WiFi  FPGA  大數(shù)據(jù)  云計(jì)算  201501  

          零基礎(chǔ)學(xué)FPGA(二)關(guān)于觸發(fā)器

          •   太書面化的話我就不說了啊,有些東西就像書上寫的,真的看著看著就想睡覺了,還是大白話直白哈。   1、關(guān)于觸發(fā)器的分類   觸發(fā)器呢大體可以按這幾個(gè)部分分類:1、按晶體管性質(zhì)分,可以分為BJT集成電路觸發(fā)器和MOS型集成電路觸發(fā)器。2、按工作方式分,可分為異步工作方式和同步工作方式,異步工作方式也就是不受時(shí)鐘控制,像基本RS觸發(fā)器,同步方式就是受時(shí)鐘控制,稱為時(shí)鐘觸發(fā)器。3、按結(jié)構(gòu)方式分,可分為維持阻塞觸發(fā)器,延邊觸發(fā)器,主從觸發(fā)器等。4、按邏輯功能分,可分為RS觸發(fā)器,JK觸發(fā)器,D觸發(fā)器,T觸發(fā)
          • 關(guān)鍵字: FPGA  觸發(fā)器  
          共7200條 149/480 |‹ « 147 148 149 150 151 152 153 154 155 156 » ›|

          fpga-pwm介紹

          您好,目前還沒有人創(chuàng)建詞條fpga-pwm!
          歡迎您創(chuàng)建該詞條,闡述對(duì)fpga-pwm的理解,并與今后在此搜索fpga-pwm的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();