<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁 >> 主題列表 >> fpga-pwm

          基于FPGA的噴油脈寬處理系統(tǒng)的設(shè)計(jì)

          • 摘要:由于電控汽油機(jī)在燃用不同比例甲醇汽油時(shí)受空燃比自適應(yīng)調(diào)整的限制而不能正常運(yùn)轉(zhuǎn)的問題,提出利用FPGA技術(shù)將電控單元輸出的噴油脈寬信號(hào)進(jìn)行擴(kuò)展處理,使得電控汽油機(jī)在燃用不同比例甲醇汽油時(shí),空燃比能夠維
          • 關(guān)鍵字: FPGA  噴油脈寬  處理系統(tǒng)    

          基于FPGA的出租車計(jì)價(jià)系統(tǒng)設(shè)計(jì)

          • 摘要:設(shè)計(jì)出租車計(jì)價(jià)系統(tǒng),運(yùn)用自頂向下的設(shè)計(jì)思想,以芯片CycloneⅡEP2C8T144C8為設(shè)計(jì)核心,采用QuartusⅡ仿真軟件,對(duì)設(shè)計(jì)電路的各模塊及整個(gè)系統(tǒng)進(jìn)行了EDA仿真驗(yàn)證。結(jié)果表明,該計(jì)價(jià)系統(tǒng)具有計(jì)時(shí)、計(jì)費(fèi)、計(jì)程和
          • 關(guān)鍵字: FPGA  出租車  計(jì)價(jià)  系統(tǒng)設(shè)計(jì)    

          基于SRAM的FPGA配置數(shù)據(jù)存儲(chǔ)方式解析方案

          • 1.引言由于FPGA 良好的可編程性和優(yōu)越的性能表現(xiàn),當(dāng)前采用FPGA 芯片的嵌入式系統(tǒng)數(shù)量呈現(xiàn)迅速增加的趨勢(shì),特別是在需要進(jìn)行大規(guī)模運(yùn)算的通信領(lǐng)域。目前FPGA 配置數(shù)據(jù)一般使用基于SRAM 的存儲(chǔ)方式,掉電后數(shù)據(jù)消失,
          • 關(guān)鍵字: SRAM  FPGA  數(shù)據(jù)存儲(chǔ)  方式    

          基于FPGA的家居智能遙控

          • 基于FPGA的家居智能遙控,引言  人們生活中的家用電器種類日益增多,遙控器的種類也隨之增加,不同種類的遙控器之間一般不能相互替代,這給人們的生活帶來諸多不便。各類遙控器功能大致相同,大多都有數(shù)字鍵、啟動(dòng)停止鍵、前進(jìn)鍵、快進(jìn)鍵、
          • 關(guān)鍵字: 遙控  智能  家居  FPGA  基于  

          賽靈思Kintex-7 FPGA 系列芯片簡(jiǎn)介

          • 本文主要介紹了賽靈思Kintex-7 FPGA 系列芯片的性能。業(yè)界最佳性價(jià)比Kintex-7 FPGA 是一款新型的 FPGA,展現(xiàn)高端性能,成本降低過半。Kintex-7 系列是在通用 28nm 架構(gòu)基礎(chǔ)上構(gòu)建的三大產(chǎn)品系列之一,其設(shè)計(jì)實(shí)現(xiàn)了最
          • 關(guān)鍵字: Kintex  FPGA  賽靈思  系列芯片    

          基于FPGA的智能全數(shù)字鎖相環(huán)的設(shè)計(jì)

          • 1 引言數(shù)字鎖相環(huán)路已在數(shù)字通信、無線電電子學(xué)及電力系統(tǒng)自動(dòng)化等領(lǐng)域中得到了極為廣泛的應(yīng)用。隨著集成電路技術(shù)的發(fā)展,不僅能夠制成頻率較高的單片集成鎖相環(huán)路,而且可以把整個(gè)系統(tǒng)集成到一個(gè)芯片上去。在基于FP
          • 關(guān)鍵字: FPGA  全數(shù)字  鎖相環(huán)    

          導(dǎo)入靈活的FPGA驗(yàn)證方法

          • 隨著系統(tǒng)芯片 (SoC) 設(shè)計(jì)的體積與復(fù)雜度持續(xù)升高,驗(yàn)證作業(yè)變成了瓶頸:占了整個(gè) SoC 研發(fā)過程中 70% 的時(shí)間。因此,任何能夠降低驗(yàn)證成本并能更早實(shí)現(xiàn)驗(yàn)證 sign-off 的方法都是眾人的注目焦點(diǎn)。臺(tái)灣工業(yè)技術(shù)研究院
          • 關(guān)鍵字: FPGA  驗(yàn)證方法    

          基于FPGA的汽車視頻和圖形控制系統(tǒng)設(shè)計(jì)

          • LCD顯示器真是無處不在,在家庭、超市、體育館以及汽車內(nèi)你都可以見到它們的身影。無疑車載LCD顯示系統(tǒng)是增長(zhǎng)最快的市場(chǎng)。增長(zhǎng)的動(dòng)力包括:不斷下降的顯示器價(jià)格、不斷提升的用戶體驗(yàn)、更多的產(chǎn)品性能以及車內(nèi)消費(fèi)類
          • 關(guān)鍵字: FPGA  汽車視頻  控制系統(tǒng)設(shè)計(jì)    

          通過優(yōu)化PWM算法提高智能微控制器控制性能解析方案

          • 隨著電力電子技術(shù)和微電子技術(shù)的飛速發(fā)展,變頻調(diào)速技術(shù)也在日新月異地進(jìn)步。智能微控制器的不斷完善和智能功率模塊(IPM)的更新?lián)Q代更加促進(jìn)了變頻調(diào)速技術(shù)的進(jìn)步。近十多年來,以半導(dǎo)體功率器件為基礎(chǔ)的PWM變頻及脈
          • 關(guān)鍵字: 控制  性能  解析  方案  控制器  智能  優(yōu)化  PWM  算法  提高  

          基于FPGA與DSP的高速通信接口設(shè)計(jì)與實(shí)現(xiàn)

          • 電子產(chǎn)品世界,為電子工程師提供全面的電子產(chǎn)品信息和行業(yè)解決方案,是電子工程師的技術(shù)中心和交流中心,是電子產(chǎn)品的市場(chǎng)中心,EEPW 20年的品牌歷史,是電子工程師的網(wǎng)絡(luò)家園
          • 關(guān)鍵字: DSP  FPGA  高速通信接口  

          美國(guó)廠商眼中的熱點(diǎn)

          • 存儲(chǔ)器的市場(chǎng)驅(qū)動(dòng)力來自智能、連接和用戶界面(UI)。智能設(shè)備主要是處理功能,可以實(shí)現(xiàn)節(jié)能、進(jìn)行預(yù)防性的自檢、節(jié)省時(shí)間。連接的核心是智能網(wǎng)絡(luò)。UI(用戶界面)的核心是人機(jī)界面(HMI)的創(chuàng)新。
          • 關(guān)鍵字: 存儲(chǔ)器  嵌入式  FPGA  201206  

          FPGA進(jìn)入硅片融合時(shí)代

          • FPGA在經(jīng)過了從上世紀(jì)90年代到2000年的快速發(fā)展、隨后短期的泡沫破裂、以及近幾年的平穩(wěn)增長(zhǎng)的發(fā)展階段,未來將會(huì)邁入硅片融合時(shí)代。
          • 關(guān)鍵字: Altera  FPGA  201206  

          基于FPGA的移動(dòng)通信中卷積碼編碼器設(shè)計(jì)

          • 摘要:卷積碼是一種性能優(yōu)良的差錯(cuò)控制編碼。介紹了卷積碼編碼原理,基于FPGA利用VHDL硬件描述語言實(shí)現(xiàn)了一個(gè)(2,1,9)卷積碼編碼器。給出了仿真結(jié)果,并在FPGA器件上驗(yàn)證實(shí)現(xiàn)。仿真及測(cè)試結(jié)果表明,達(dá)到了預(yù)期的設(shè)計(jì)
          • 關(guān)鍵字: 設(shè)計(jì)  編碼器  移動(dòng)通信  FPGA  基于  

          基于FPGA的無線信道模擬器設(shè)計(jì)

          • 摘要:為了縮短研發(fā)周期,需要在實(shí)驗(yàn)室模擬出無線信道的各種傳播特性,無線信道模擬器設(shè)計(jì)必不可少。采用基于頻率選擇性信道Jakes仿真器模型,使用Xilinx公司的VIrtex-2p模擬實(shí)現(xiàn)了頻率選擇性衰落信道,最后將數(shù)據(jù)通
          • 關(guān)鍵字: FPGA  無線  信道模擬器    

          FPGA的基本結(jié)構(gòu)

          • 一、FPGA的基本結(jié)構(gòu)FPGA由6部分組成,分別為可編程輸入/輸出單元、基本可編程邏輯單元、嵌入式塊RAM、豐富的布線資源、底層嵌入功能單元和內(nèi)嵌專用硬核等。每個(gè)單元簡(jiǎn)介如下:1.可編程輸入/輸出單元(I/O單元)目前大
          • 關(guān)鍵字: FPGA  基本結(jié)構(gòu)    
          共7200條 246/480 |‹ « 244 245 246 247 248 249 250 251 252 253 » ›|

          fpga-pwm介紹

          您好,目前還沒有人創(chuàng)建詞條fpga-pwm!
          歡迎您創(chuàng)建該詞條,闡述對(duì)fpga-pwm的理解,并與今后在此搜索fpga-pwm的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();