<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> fpga-pwm

          Altera發(fā)布了基于FPGA的視頻分析解決方案

          • 進(jìn)一步延續(xù)其在基于FPGA的視頻監(jiān)控解決方案上的領(lǐng)先優(yōu)勢,Altera公司 (NASDAQ: ALTR)日前發(fā)布了面向監(jiān)控系統(tǒng)數(shù)字視頻錄像機(jī)(DVR)和網(wǎng)絡(luò)視頻錄像機(jī)(NVR)的四通道標(biāo)準(zhǔn)清晰度(SD)視頻分析解決方案。與Eutecus公司聯(lián)合開發(fā),Altera最新的視頻分析解決方案支持用戶使用一片F(xiàn)PGA同時(shí)分析四路D1 480p/30fps (每秒幀數(shù))視頻通道。用戶可以在現(xiàn)有SD監(jiān)控投入上迅速高效的增加功能,不需要購買集成了分析功能的新攝像機(jī)。
          • 關(guān)鍵字: Altera  FPGA  

          Altera的FPGA OpenCL計(jì)劃大幅度縮短客戶開發(fā)時(shí)間

          • Altera公司(Nasdaq: ALTR)日前宣布,goHDR作為FPGA OpenCL計(jì)劃的早期試用客戶,通過Altera的FPGA OpenCL計(jì)劃,大幅度縮短了開發(fā)時(shí)間,顯著提高了性能。與Altera密切合作,goHDR將其專用C代碼導(dǎo)入到OpenCL標(biāo)準(zhǔn)中,不到一星期的時(shí)間便在FPGA中實(shí)現(xiàn)了這些代碼——使用傳統(tǒng)的HDL流程,這一過程一般需要3到6個(gè)月的時(shí)間。
          • 關(guān)鍵字: Altera  FPGA  

          增強(qiáng)定時(shí)計(jì)數(shù)器和PWM輸出功能

          • 增強(qiáng)定時(shí)計(jì)數(shù)器和PWM輸出功能,1。1前言隨著計(jì)算機(jī)技術(shù)和電力電子技術(shù)的發(fā)展,變頻驅(qū)動(dòng)技術(shù)憑借其優(yōu)異的性能,在當(dāng)今交流調(diào)速領(lǐng)域的應(yīng)用越來越廣。變頻驅(qū)動(dòng)主要使用的驅(qū)動(dòng)波形主要有SPWM和SVPWM兩種。SPWM原理簡單、實(shí)現(xiàn)容易,是現(xiàn)在使用最廣的一種
          • 關(guān)鍵字: 輸出  功能  PWM  計(jì)數(shù)器  定時(shí)  增強(qiáng)  

          用FPGA實(shí)現(xiàn)WCDMA下行擾碼

          • 1 概述在WCDMA中,加擾就是用一個(gè)偽隨機(jī)碼序列對擴(kuò)頻碼進(jìn)行相乘,對信號進(jìn)行加密。上行鏈路物理信道加擾的作用是區(qū)分用戶,下行鏈路加擾可以區(qū)分小區(qū)和信道。WCDMA采用Gold碼作為擴(kuò)頻序列的擾碼。Gold由兩個(gè)M序列相
          • 關(guān)鍵字: WCDMA  FPGA    

          基于FPGA的數(shù)字選頻器設(shè)計(jì)

          • 摘要:提出了一種基于FPGA的數(shù)字選頻器設(shè)計(jì)方案,該數(shù)字選頻器應(yīng)用于八通道的GSM系統(tǒng)直放站,采用低成本的FPGA芯片Xilink Spartan-3A DSP XC3SD3400A進(jìn)行數(shù)字信號處理。給出了較詳細(xì)的硬件設(shè)計(jì)方案,并通過Agilent T
          • 關(guān)鍵字: FPGA  數(shù)字  選頻    

          基于FPGA和ARM的彩色圖像處理系統(tǒng)

          • 引言圖像處理技術(shù)的快速發(fā)展,使得圖像采集處理系統(tǒng)在提高農(nóng)業(yè)生產(chǎn)自動(dòng)化程度中的應(yīng)用越來越廣泛。目前的圖像采集系統(tǒng)有的基于CCD攝像機(jī)、圖像采集卡和計(jì)算機(jī),有的基于CCD攝像機(jī)、解碼器、FPGA和DSP,而有的基于CMO
          • 關(guān)鍵字: FPGA  ARM  彩色圖像  處理系統(tǒng)    

          基于FPGA實(shí)現(xiàn)千兆以太網(wǎng)業(yè)務(wù)在SDH上的傳輸

          • 摘要:為了實(shí)現(xiàn)千兆以太網(wǎng)業(yè)務(wù)在SDH網(wǎng)絡(luò)上的傳輸(EOS),可以利用FPGA將以太網(wǎng)MAC數(shù)據(jù)幀在SDH數(shù)據(jù)幀中進(jìn)行封裝和映射處理。介紹了GFP封裝協(xié)議以及虛級聯(lián)技術(shù),給出了FPGA內(nèi)部的模塊化設(shè)計(jì)方法。利用FPGA的強(qiáng)大功能和內(nèi)
          • 關(guān)鍵字: FPGA  SDH  千兆以太網(wǎng)  傳輸    

          FPGA測試方案隨需而變

          • 大容量、高速率和低功耗已成為FPGA的發(fā)展重點(diǎn)。嵌入式邏輯分析工具無法滿足通用性要求,外部測試工具可以把FPGA內(nèi)部信號與實(shí)際電路聯(lián)合起來觀察系統(tǒng)真實(shí)運(yùn)行情況。隨著FPGA技術(shù)的發(fā)展,大容量、高速率和低功耗已經(jīng)成
          • 關(guān)鍵字: FPGA  測試方案    

          無級調(diào)速式高精度PWM波產(chǎn)生電路

          • 電路的功能關(guān)于PWM波的產(chǎn)生,采用最多的方法是用電壓比較器把要調(diào)制的信號與線性好的三角波進(jìn)行比較。本電路可用數(shù)字據(jù)以1%為1檔在1%~99%范圍內(nèi)準(zhǔn)確無誤而穩(wěn)定地控制占空比。因此,它可用作校準(zhǔn)、調(diào)整PWM解調(diào)電路或作
          • 關(guān)鍵字: PWM  無級調(diào)速  高精度  產(chǎn)生電路    

          基于NE5560的PWM直流伺服電路

          • 電路的功能線性驅(qū)動(dòng)直流伺服電機(jī)時(shí),如輸出晶體管的功率下降,發(fā)熱量就會增加。大功率條件下,大多采用象本電路那樣的脈沖調(diào)幅驅(qū)動(dòng)方式。通過晶體管的開關(guān)切換作用來提高功率控制發(fā)熱。PWM電路也可采用分立元件組成。
          • 關(guān)鍵字: 5560  PWM  NE  直流伺服    

          基于Infineon高性能8位單片機(jī)XC866的最小正弦PWM的直流無刷電機(jī)控制方案

          • 傳統(tǒng)的直流無刷電機(jī)采用方波控制方式,控制簡單,容易實(shí)現(xiàn),同時(shí)存在轉(zhuǎn)矩脈動(dòng)、換相噪聲等問題,在一些對噪聲有要求的應(yīng)用領(lǐng)域存在局限性。針對這些應(yīng)用,采用正弦波控制可以很好的解決這個(gè)問題。

            直流無刷電機(jī)的
          • 關(guān)鍵字: 直流  電機(jī)  控制  方案  PWM  最小  Infineon  高性能  單片機(jī)  

          PCI總線協(xié)議的FPGA實(shí)現(xiàn)及驅(qū)動(dòng)設(shè)計(jì)

          • 摘要:采用FPGA技術(shù),在ALTERA公司的FLEX6000系列芯片上實(shí)現(xiàn)了從設(shè)備模式PCI總線的簡化協(xié)議,并給出了Windows9x系...
          • 關(guān)鍵字: PCI總線  FPGA  虛擬設(shè)備  

          直接擴(kuò)頻通信同步系統(tǒng)的FPGA設(shè)計(jì)與實(shí)現(xiàn)

          • 摘要:對直接擴(kuò)頻通信同步系統(tǒng)進(jìn)行了研究,使用PN碼作為擴(kuò)頻序列,利用其良好的自相關(guān)性,提出一種新式的滑動(dòng)相關(guān)法使收發(fā)端同步,并給出該系統(tǒng)的FPGA實(shí)現(xiàn)方法。利用ISE 10.1開發(fā)軟件仿真驗(yàn)證,證明此方法可以提高運(yùn)
          • 關(guān)鍵字: FPGA  通信  擴(kuò)頻  滑動(dòng)  相關(guān)  實(shí)現(xiàn)  系統(tǒng)  同步  直接  設(shè)計(jì)  

          基于PN序列幀的同步分析及FPGA實(shí)現(xiàn)

          • 摘要:闡述了一種導(dǎo)頻疊加的OFDM同步方法,利用具有良好的自相關(guān)性PN序列實(shí)現(xiàn)時(shí)偏和頻偏估計(jì)。在多徑信道條件下,通過Matlab仿真能較好地實(shí)現(xiàn)同步。然后利用Altera公司的芯片在QuartusⅡ8.0工具平臺上實(shí)現(xiàn)了OFDM同步
          • 關(guān)鍵字: FPGA  序列  分析      

          利用FPGA協(xié)處理器優(yōu)化汽車信息娛樂和信息通訊系統(tǒng)

          • 集成了數(shù)據(jù)通信、本地服務(wù)和視頻娛樂功能的高端汽車信息娛樂系統(tǒng)需要高性能的可編程處理技術(shù)支持,將FPGA協(xié)處理器整合進(jìn)主流汽車信息通訊系統(tǒng)架構(gòu)是最理想的解決方案。本文提出了汽車娛樂系統(tǒng)的要求,討論了主流系統(tǒng)
          • 關(guān)鍵字: FPGA  協(xié)處理器  汽車信息娛樂  通訊系統(tǒng)    
          共7200條 257/480 |‹ « 255 256 257 258 259 260 261 262 263 264 » ›|

          fpga-pwm介紹

          您好,目前還沒有人創(chuàng)建詞條fpga-pwm!
          歡迎您創(chuàng)建該詞條,闡述對fpga-pwm的理解,并與今后在此搜索fpga-pwm的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();