<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁(yè)  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問(wèn)答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁(yè) >> 主題列表 >> fpga-pwm

          FPGA中SPI復(fù)用配置的編程方法

          • FPGA中SPI復(fù)用配置的編程方法, SPI(Serial Peripheral Interface,串行外圍設(shè)備接口)是一種高速、全雙工、同步的通信總線(xiàn),在芯片的引腳上只占用4根線(xiàn),不僅節(jié)約了芯片的引腳,同時(shí)在PCB的布局上還節(jié)省空間。正是出于這種簡(jiǎn)單、易用的特性,
          • 關(guān)鍵字: 編程  方法  配置  復(fù)用  SPI  FPGA  

          FPGA的時(shí)鐘頻率同步設(shè)計(jì)

          • FPGA的時(shí)鐘頻率同步設(shè)計(jì),引 言
            網(wǎng)絡(luò)化運(yùn)動(dòng)控制是未來(lái)運(yùn)動(dòng)控制的發(fā)展趨勢(shì),隨著高速加工技術(shù)的發(fā)展,對(duì)網(wǎng)絡(luò)節(jié)點(diǎn)間的時(shí)間同步精度提出了更高的要求。如造紙機(jī)械,運(yùn)行速度為1 500~1 800m/min,同步運(yùn)行的電機(jī)之間1μs的時(shí)間同步誤差將
          • 關(guān)鍵字: 設(shè)計(jì)  同步  頻率  時(shí)鐘  FPGA  

          FPGA單芯片四核二乘二取二的安全系統(tǒng)

          • FPGA單芯片四核二乘二取二的安全系統(tǒng),引 言
            二乘二取二系統(tǒng)的兩套計(jì)算機(jī)系統(tǒng)各有兩個(gè)CPU,并且所有結(jié)構(gòu)和配件完全相同。兩套系統(tǒng)之間采取雙機(jī)熱備份,大幅提高了系統(tǒng)可靠性,在一些領(lǐng)域得到了廣泛應(yīng)用。基于二乘二取二容錯(cuò)結(jié)構(gòu)的計(jì)算機(jī)聯(lián)鎖系統(tǒng)在國(guó)
          • 關(guān)鍵字: 系統(tǒng)  安全  單芯片  FPGA  

          基于FPGA的防盜定位追蹤系統(tǒng)

          • 1 系統(tǒng)方案  GSM(Global System for Mobile Communications)為全球移動(dòng)通訊系統(tǒng),是一種起源于歐洲的移動(dòng)通信技術(shù)標(biāo)準(zhǔn),其開(kāi)發(fā)目的是讓全球各地可以共同使用一個(gè)移動(dòng)電話(huà)網(wǎng)絡(luò)標(biāo)準(zhǔn),讓用戶(hù)使用一部手機(jī)就能行遍全球
          • 關(guān)鍵字: FPGA  防盜  定位追蹤  系統(tǒng)    

          基于FPGA的提取位同步時(shí)鐘DPLL設(shè)計(jì)

          • 在數(shù)字通信系統(tǒng)中,同步技術(shù)是非常重要的,而位同步是最基本的同步。位同步時(shí)鐘信號(hào)不僅用于監(jiān)測(cè)輸入碼元信號(hào),確保收發(fā)同步,而且在獲取幀同步及對(duì)接收的數(shù)字碼元進(jìn)行各種處理的過(guò)程中也為系統(tǒng)提供了一個(gè)基準(zhǔn)
          • 關(guān)鍵字: FPGA  DPLL  位同步時(shí)鐘    

          基于FPGA的數(shù)據(jù)采集控制模塊設(shè)計(jì)

          • 0 引 言
            數(shù)據(jù)采集和控制系統(tǒng)是對(duì)生產(chǎn)過(guò)程或科學(xué)實(shí)驗(yàn)中各種物理量進(jìn)行實(shí)時(shí)采集、測(cè)試和反饋控制的閉環(huán)控制,它在工業(yè)控制、軍事電子設(shè)備、醫(yī)學(xué)監(jiān)護(hù)等許多領(lǐng)域發(fā)揮著重要作用。其中,數(shù)據(jù)采集部分尤為重要,而傳統(tǒng)
          • 關(guān)鍵字: FPGA  數(shù)據(jù)  采集控制  模塊設(shè)計(jì)    

          通過(guò)USB接口實(shí)現(xiàn)FPGA的SelectMap配置

          • 1.引言
            FPGA器件結(jié)合了 ASIC的高性能和微處理器的靈活,不僅擁有豐富的邏輯資源,而且可以進(jìn)行方便靈活的配置。主動(dòng)配置方式盡管配置速度快、實(shí)現(xiàn)簡(jiǎn)單,但并未發(fā)揮 FPGA配置靈活的特點(diǎn),適合于 FPGA用作單一應(yīng)用的場(chǎng)
          • 關(guān)鍵字: SelectMap  FPGA  USB  接口    

          一種基于FPGA的AGWN信號(hào)生成器的設(shè)計(jì)

          • 在通信系統(tǒng)中分析計(jì)算系統(tǒng)抗噪聲性能時(shí),經(jīng)常假定信道噪聲為加性高斯型白噪聲(AGWN)。本文就是通過(guò)分析AG...
          • 關(guān)鍵字: FPGA  AGWN  信號(hào)生成器  

          基于改進(jìn)型二步索引算法OSD電路的FPGA實(shí)現(xiàn)

          • 基于改進(jìn)型二步索引算法OSD電路的FPGA實(shí)現(xiàn), 0 引 言 OSD(on screen display),即在屏顯示系統(tǒng),是實(shí)現(xiàn)人機(jī)界面交互的基礎(chǔ),在視頻處理SOC中作為重要功能模塊有著廣泛的應(yīng)用。 基于SOC技術(shù)的模塊化設(shè)計(jì)要求各功能模塊盡可能小地占用電路資源,以滿(mǎn)足芯片系統(tǒng)
          • 關(guān)鍵字: 電路  FPGA  實(shí)現(xiàn)  OSD  算法  改進(jìn)型  索引  基于  FPGA  

          基于H橋級(jí)聯(lián)型逆變器PWM控制策略的研究

          • 本文主要對(duì)大功率高壓變頻器H橋級(jí)聯(lián)型逆變器的實(shí)現(xiàn)方式進(jìn)行了探討,主要從系統(tǒng)中PWM實(shí)現(xiàn)的控制策略上進(jìn)行研究,并針對(duì)幾種控制策略的實(shí)現(xiàn)方法及性能進(jìn)行了分析及比較。
          • 關(guān)鍵字: 策略  研究  控制  PWM  逆變器  基于  

          基于FPGA的級(jí)聯(lián)結(jié)構(gòu)FFT處理器的優(yōu)化設(shè)計(jì)

          • 0 引 言
            數(shù)字信號(hào)處理主要研究采用數(shù)字序列或符號(hào)序列表示信號(hào),并用數(shù)字計(jì)算方法對(duì)這些序列進(jìn)行處理,以便把信號(hào)變換成符合某種需要的形式。在現(xiàn)代數(shù)字信號(hào)處理中,最常用的變換方法就是離散傅里葉變換(DFT),
          • 關(guān)鍵字: FPGA  FFT  級(jí)聯(lián)  處理器    

          對(duì)基于FPGA的作戰(zhàn)系統(tǒng)時(shí)統(tǒng)的研究與設(shè)計(jì)

          • O引言作戰(zhàn)系統(tǒng)時(shí)間的統(tǒng)一同步(時(shí)統(tǒng))的重要性越來(lái)越得到重視,只有保證整個(gè)系統(tǒng)處在同一時(shí)間的基準(zhǔn)...
          • 關(guān)鍵字: FPGA  作戰(zhàn)系統(tǒng)  時(shí)統(tǒng)  

          追新逐熱還是腳踏實(shí)地?跟上技術(shù)發(fā)展的步伐

          •   我真的需要那種技術(shù)嗎?我現(xiàn)在應(yīng)該購(gòu)買(mǎi)嗎?如果我不購(gòu)買(mǎi),是不是就會(huì)處于劣勢(shì)?我會(huì)不會(huì)因?yàn)樽龀鲥e(cuò)誤的決定而出局?即便不想成為先行者,同行的壓力與技術(shù)變化的速度,也會(huì)使我們不斷面對(duì)類(lèi)似的更多問(wèn)題。最終,我們還是得想辦法回到正確的技術(shù)軌道上來(lái)。   研究、保持使用最新技術(shù)以及直覺(jué),都將有助于縮小這些決定的范圍,不過(guò)最終還是得在冒險(xiǎn)一試與放棄之間做出選擇。即使有些不情愿或者也許有點(diǎn)晚,我們?nèi)匀粨碜o(hù)變革。   在消費(fèi)類(lèi)電子產(chǎn)品前沿,事情并不總是那么順理成章的。無(wú)論行業(yè)評(píng)論員的權(quán)威意見(jiàn)是什么,也不管他們給予怎樣
          • 關(guān)鍵字: Altium  FPGA  設(shè)計(jì)工具  

          32階FIR濾波器的FPGA實(shí)現(xiàn)

          • 隨著軟件無(wú)線(xiàn)電的發(fā)展。對(duì)于濾波器的處理速度要求越來(lái)越高。傳統(tǒng)的FIR濾波器一般采用通用DSP處理器,但是DSP處理器采用的是串行運(yùn)算,而FPGA是現(xiàn)場(chǎng)可編程陣列,可以實(shí)現(xiàn)專(zhuān)用集成電路,另外還可以采用純并行結(jié)構(gòu)
          • 關(guān)鍵字: FPGA  FIR  濾波器    
          共7201條 376/481 |‹ « 374 375 376 377 378 379 380 381 382 383 » ›|

          fpga-pwm介紹

          您好,目前還沒(méi)有人創(chuàng)建詞條fpga-pwm!
          歡迎您創(chuàng)建該詞條,闡述對(duì)fpga-pwm的理解,并與今后在此搜索fpga-pwm的朋友們分享。    創(chuàng)建詞條

          熱門(mén)主題

          樹(shù)莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢(xún)有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();