<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁(yè)  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問(wèn)答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁(yè) >> 主題列表 >> fpga-pwm

          基于FPGA的可擴(kuò)展高速FFT處理器的設(shè)計(jì)與實(shí)現(xiàn)

          • 本文提出了基于FPGA實(shí)現(xiàn)傅里葉變換點(diǎn)數(shù)可靈活擴(kuò)展的流水線FFT處理器的結(jié)構(gòu)設(shè)計(jì)以及各功能模塊的算法實(shí)現(xiàn)
          • 關(guān)鍵字: FPGA  FFT  處理器    

          FPGA的DSP性能揭秘

          • “今天,F(xiàn)PGA越來(lái)越多地應(yīng)用在多種DSP中。我們預(yù)計(jì)這一趨勢(shì)在未來(lái)幾年會(huì)更加明顯?!泵绹?guó)調(diào)查機(jī)構(gòu)Berkeley設(shè)計(jì)技術(shù)公司做了上述預(yù)測(cè)。以Xilinx和Altera為主的兩大FPGA廠商多年前就涉足了DSP應(yīng)用領(lǐng)域,近一、兩年,隨著3G通信、視頻成像等領(lǐng)域的發(fā)展,F(xiàn)PGA for DSP(FPGA的DSP)再次成為了熱點(diǎn)。為什么會(huì)用FPGA做DSP?Xilinx中國(guó)區(qū)運(yùn)營(yíng)總經(jīng)理吳曉東從DSP的概念上進(jìn)行了分析:DSP表示數(shù)字信號(hào)處理器,也可以表示為數(shù)字信號(hào)處理—并不代表某一種芯片。實(shí)際上,數(shù)字信號(hào)處理
          • 關(guān)鍵字: 0706_A  DSP  FPGA  單片機(jī)  嵌入式系統(tǒng)  雜志_專(zhuān)題  

          FPGA for DSP的精彩問(wèn)答

          • 問(wèn):現(xiàn)在DSP跟微處理器結(jié)合的情況比較多,實(shí)現(xiàn)DSP功能的FPGA是否也要和微處理器合作?答:現(xiàn)在90%以上的FPGA都運(yùn)用在處理器上,這也正是FPGA這方面的優(yōu)勢(shì)。你可以用FPGA的邏輯搭建一個(gè)軟處理器,也可以選用具有內(nèi)嵌硬處理器的產(chǎn)品。因此,可以很容易在FPGA上實(shí)現(xiàn)微處理器的性能。 問(wèn):FPGA已經(jīng)能夠?qū)崿F(xiàn)DSP,為何還要專(zhuān)門(mén)開(kāi)發(fā)為DSP應(yīng)用的FGPA?答:首先,所有的FPGA都有乘法器,如果你可以拿這些乘法器做數(shù)字信號(hào)處理,但是不是最優(yōu)化的。例如,如果你選擇Xilinx Spartan的產(chǎn)品,你
          • 關(guān)鍵字: 0706_A  DSP  FPGA  單片機(jī)  嵌入式系統(tǒng)  雜志_專(zhuān)題  

          基于FPGA的輪詢(xún)合路的設(shè)計(jì)和實(shí)現(xiàn)

          • 針對(duì)高密度接口設(shè)計(jì)中基于字節(jié)處理和整包處理的轉(zhuǎn)換問(wèn)題,本文提出了分片輪詢(xún)調(diào)度和改進(jìn)式欠賬輪詢(xún)調(diào)度相結(jié)合的調(diào)度策略
          • 關(guān)鍵字: FPGA  輪詢(xún)合路    

          基于FPGA的位寬可擴(kuò)展多路組播復(fù)制的實(shí)現(xiàn)

          • 用VHDL語(yǔ)言在FPGA內(nèi)部編程實(shí)現(xiàn)組播復(fù)制。本文介紹其實(shí)現(xiàn)方法,并給出了時(shí)序仿真波形。通過(guò)擴(kuò)展,該設(shè)計(jì)可以支持多位寬、多路復(fù)制,因而具有較好的應(yīng)用前景。
          • 關(guān)鍵字: FPGA  位寬  多路    

          具有多個(gè)電壓軌的FPGA和DSP電源設(shè)計(jì)實(shí)例(二)

          • 電子產(chǎn)品世界,為電子工程師提供全面的電子產(chǎn)品信息和行業(yè)解決方案,是電子工程師的技術(shù)中心和交流中心,是電子產(chǎn)品的市場(chǎng)中心,EEPW 20年的品牌歷史,是電子工程師的網(wǎng)絡(luò)家園
          • 關(guān)鍵字: DSP  電源設(shè)計(jì)  FPGA  德州儀器  

          具有多個(gè)電壓軌的FPGA和DSP電源設(shè)計(jì)實(shí)例(一)

          • 電子產(chǎn)品世界,為電子工程師提供全面的電子產(chǎn)品信息和行業(yè)解決方案,是電子工程師的技術(shù)中心和交流中心,是電子產(chǎn)品的市場(chǎng)中心,EEPW 20年的品牌歷史,是電子工程師的網(wǎng)絡(luò)家園
          • 關(guān)鍵字: DSP  電源設(shè)計(jì)  FPGA  

          基于AT89S51單片機(jī)的PWM專(zhuān)用信號(hào)發(fā)生器設(shè)計(jì)

          基于智能PWM控制的機(jī)車(chē)制動(dòng)控制單元的設(shè)計(jì)

          基于FPGA的二值圖像連通域標(biāo)記快速算法實(shí)現(xiàn)

          • 摘  要:針對(duì)高速圖像目標(biāo)實(shí)時(shí)識(shí)別和跟蹤任務(wù),需要利用系統(tǒng)中有限的硬件資源實(shí)現(xiàn)高速、準(zhǔn)確的二值圖像連通域標(biāo)記,提出了一種適合FPGA實(shí)現(xiàn)的二值圖像連通域標(biāo)記快速算法。算法以快捷、有效的方式識(shí)別、并記錄區(qū)域間復(fù)雜的連通關(guān)系。與傳統(tǒng)的二值圖像標(biāo)記算法相比,該算法具有運(yùn)算簡(jiǎn)單性、規(guī)則性和可擴(kuò)展性的特點(diǎn)。利用FPGA實(shí)現(xiàn)該算法時(shí),能夠準(zhǔn)確有效的識(shí)別出圖像中復(fù)雜的連通關(guān)系,產(chǎn)生正確的標(biāo)記結(jié)果。在100MHz工作時(shí)鐘下,處理384
          • 關(guān)鍵字: FPGA  單片機(jī)  二值圖像連通域標(biāo)記  嵌入式系統(tǒng)  

          基于FPGA的高速可變周期脈沖發(fā)生器的設(shè)計(jì)

          • 1 引 言 要求改變脈沖周期和輸出脈沖個(gè)數(shù)的脈沖輸出電路模塊在許多工業(yè)領(lǐng)域都有運(yùn)用。采用數(shù)字器件設(shè)計(jì)周期和輸出個(gè)數(shù)可調(diào)節(jié)的脈沖發(fā)生模塊是方便可行的。為了使之具有高速、靈活的優(yōu)點(diǎn),本文采用Atelra公司的可編程芯片F(xiàn)PGA設(shè)計(jì)了一款周期和輸出個(gè)數(shù)可變的脈沖發(fā)生器。經(jīng)過(guò)板級(jí)調(diào)試獲得良好的運(yùn)行效果。 2 總體設(shè)計(jì)思路 脈沖的周期由高電平持續(xù)時(shí)間與低電平持續(xù)時(shí)間共同構(gòu)成,為了改變周期,采用兩個(gè)計(jì)數(shù)器來(lái)分別控制高電平持續(xù)時(shí)間和低電平持續(xù)時(shí)間。計(jì)數(shù)器采用可并行加載初始值的N位減法計(jì)數(shù)器。設(shè)定:當(dāng)要求的高電平
          • 關(guān)鍵字: FPGA  單片機(jī)  脈沖發(fā)生器  嵌入式系統(tǒng)  

          FPGA所需的電源供應(yīng):深入分析

          • 電子產(chǎn)品世界,為電子工程師提供全面的電子產(chǎn)品信息和行業(yè)解決方案,是電子工程師的技術(shù)中心和交流中心,是電子產(chǎn)品的市場(chǎng)中心,EEPW 20年的品牌歷史,是電子工程師的網(wǎng)絡(luò)家園
          • 關(guān)鍵字: 美國(guó)國(guó)家半導(dǎo)體公司  FPGA  DC/DC  

          基于FPGA的IDE硬盤(pán)接口卡的實(shí)現(xiàn)

          • 引言 本文采用FPGA實(shí)現(xiàn)了IDE硬盤(pán)接口協(xié)議。系統(tǒng)提供兩套符合ATA-6規(guī)范的IDE接口,一個(gè)與普通IDE硬盤(pán)連接,另一個(gè)與計(jì)算機(jī)主板上的IDE接口相連。系統(tǒng)采用FPGA實(shí)現(xiàn)接口協(xié)議,完成接口數(shù)據(jù)的截獲、處理(在本文中主要是數(shù)據(jù)加密)和轉(zhuǎn)發(fā),支持PIO和Ultra DMA兩種數(shù)據(jù)傳輸模式。下面重點(diǎn)介紹用FPGA實(shí)現(xiàn)接口協(xié)議的方法。 1 IDE接口協(xié)議簡(jiǎn)介 1.1 IDE接口引腳定義 IDE(Integrated Drive Electronics)即“電子集成驅(qū)動(dòng)器”,又稱(chēng)為ATA接口。表1列
          • 關(guān)鍵字: FPGA  IDE硬盤(pán)  單片機(jī)  嵌入式系統(tǒng)  存儲(chǔ)器  

          基于ARM和FPGA的多功能車(chē)輛總線嵌入式系統(tǒng)設(shè)計(jì)

          • 基于ARM和FPGA的多功能車(chē)輛總線嵌入式系統(tǒng)設(shè)計(jì),本文介紹了一種基于ARM和FPGA,從軟件到硬件完全自主開(kāi)發(fā)多功能車(chē)輛總線(Multifunction Vehicle Bus)MVB嵌入式系統(tǒng)的設(shè)計(jì)和實(shí)現(xiàn)。
          • 關(guān)鍵字: 嵌入式  系統(tǒng)  設(shè)計(jì)  總線  多功能車(chē)  ARM  FPGA  基于  

          賽靈思推出新型完整FPGA解決方案

          •   賽靈思公司宣布推出支持DDR2 SDRAM接口的低成本Spartan™-3A FPGA開(kāi)發(fā)套件、支持多種高性能存儲(chǔ)器接口(I/Fs)的Virtex™-5 FPGA 開(kāi)發(fā)平臺(tái)(ML-561) ,以及存儲(chǔ)器接口生成器(MIG)軟件1.7版本。這些完整的解決方案使FPGA用戶(hù)能夠快速實(shí)施并驗(yàn)證在不同數(shù)據(jù)速率和總線寬度下的專(zhuān)用存儲(chǔ)器接口設(shè)計(jì),從而加快產(chǎn)品的上市時(shí)間。   這些包括器件特性描述、數(shù)據(jù)輸入電路以及存儲(chǔ)器控制器的解決方案,均已在使用了美光科技公司(Micron Techn
          • 關(guān)鍵字: FPGA  單片機(jī)  嵌入式系統(tǒng)  賽靈思  
          共7201條 458/481 |‹ « 456 457 458 459 460 461 462 463 464 465 » ›|

          fpga-pwm介紹

          您好,目前還沒(méi)有人創(chuàng)建詞條fpga-pwm!
          歡迎您創(chuàng)建該詞條,闡述對(duì)fpga-pwm的理解,并與今后在此搜索fpga-pwm的朋友們分享。    創(chuàng)建詞條

          熱門(mén)主題

          樹(shù)莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢(xún)有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();