<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> fpga-pwm

          時(shí)延估計(jì)算法的FPGA實(shí)現(xiàn)

          • 時(shí)延估計(jì)是雷達(dá)、聲納等領(lǐng)域經(jīng)常遇到的一個(gè)問題,提出了利用相關(guān)計(jì)算法實(shí)現(xiàn)時(shí)延估計(jì),并通過互譜插值提高估計(jì)精度。結(jié)合FPGA器件特性,運(yùn)用VHDL語言編程,實(shí)現(xiàn)了整個(gè)相關(guān)算法。利用QuartusⅡ和Mat
          • 關(guān)鍵字: 時(shí)延估計(jì)  估計(jì)精度  FPGA  內(nèi)插  

          利用FPGA夾層卡實(shí)現(xiàn)I/O設(shè)計(jì)靈活性

          • 面對(duì)似乎層出不窮的新 I/O 標(biāo)準(zhǔn),目前嵌入式系統(tǒng)設(shè)計(jì)人員繼續(xù)依靠 FPGA 來部署系統(tǒng)日益重要的外部 I/O 接口.
          • 關(guān)鍵字: IO標(biāo)準(zhǔn)  可配置  FPGA  

          SOPC系統(tǒng)的智能命令行設(shè)計(jì)

          • 相對(duì)其他成熟的計(jì)算機(jī)體系,SOPC系統(tǒng)現(xiàn)在還沒有命令行。為了更好的推廣SOPC應(yīng)用,筆者開發(fā)了一個(gè)智能的命令行模塊,可以調(diào)用系統(tǒng)中的任意函數(shù),降低了開發(fā)人員的使用難度。
          • 關(guān)鍵字: SOPC  命令行  FPGA  

          使用FPGA 控制VGA 顯示

          • 顯示器因?yàn)槠漭敵鲂畔⒘看?,輸出形式多樣等特點(diǎn)已經(jīng)成為現(xiàn)在大多數(shù)設(shè)計(jì)的常用輸出設(shè)備。在 FPGA 的設(shè)計(jì)中可以使用很少的資源,就產(chǎn)生 VGA 各種控制信號(hào)。這個(gè)示例在 RHicSP2200B FPGA 開發(fā)板/學(xué)習(xí)板上使用 VGA 接口在顯示器上顯示了文字以及簡單的圖形,可以作為VGA 顯示設(shè)計(jì)的參考,如果在使用這個(gè)例子的過程
          • 關(guān)鍵字: VGA  接口  FPGA  

          如何有效防止FPGA設(shè)計(jì)被克???

          • 據(jù)估計(jì),目前盛行的假冒電子產(chǎn)品已經(jīng)占到整個(gè)市場份額的10%,這一數(shù)據(jù)得到了美國反灰色市場和反假冒聯(lián)盟(AGMA)的支持。AGMA是由惠普、思科和其它頂級(jí)電子OEM公司組成的一個(gè)行業(yè)組織。據(jù)該組織估計(jì),制造商因盜版造成的損失超過1000億美元,而對(duì)最終用戶來說,信譽(yù)損毀和可靠性問題帶來的隱性成本則更難以確定。
          • 關(guān)鍵字: AGMA  可編程邏輯  FPGA  

          基于FPGA的DDR內(nèi)存條的控制研究

          • 隨著數(shù)據(jù)存儲(chǔ)量的日益加大以及存儲(chǔ)速度的加快,大容量的高速存儲(chǔ)變得越來越重要。內(nèi)存條既能滿足大容量的存儲(chǔ)又能滿足讀寫速度快的要求,這樣使得對(duì)內(nèi)存條控制的應(yīng)用越來越廣泛。首先介紹了內(nèi)存條的工作原理,內(nèi)存條電路設(shè)計(jì)的注意事項(xiàng),以及如何使用FPGA實(shí)現(xiàn)對(duì)DDR內(nèi)存條的控制,最后給出控制的仿真波形。
          • 關(guān)鍵字: DDR  內(nèi)存條  FPGA  

          FPGA控制CLC5958型A/D轉(zhuǎn)換器高速PCI采集

          •  隨著信息技術(shù)的發(fā)展,基于微處理器的數(shù)字信號(hào)處理在測控、通訊、雷達(dá)等各個(gè)領(lǐng)域得到廣泛的應(yīng)用。被處理的模擬信號(hào)也在向高頻、寬帶方面發(fā)展,但這需要高速、高分辨率的數(shù)字采集卡以將模擬信號(hào)數(shù)字化。美國國家半導(dǎo)體公司新推出的系列高速、高分辨率模/數(shù)轉(zhuǎn)換器(如CLC5958)就非常適用于需要高速、高分辨率的信號(hào)采集系統(tǒng)。
          • 關(guān)鍵字: CLC5958型  A/D轉(zhuǎn)換器  FPGA  PCI  

          基于ARM+FPGA的食用花生油質(zhì)量快速檢測儀的設(shè)計(jì)

          • 采用ARM+FPGA結(jié)構(gòu)給出一種高性能的便攜式食用花生油質(zhì)量快速分析儀的設(shè)計(jì)。將可編程片上系統(tǒng)應(yīng)用到儀器開發(fā)中,簡化系統(tǒng)硬件電路,提高系統(tǒng)設(shè)計(jì)靈活性。充分利用ARM芯片的高效控制功能結(jié)合FPGA靈活的多硬件接口模擬特性,便于攜帶,適合現(xiàn)場免化學(xué)試劑快速檢測。
          • 關(guān)鍵字: ARM  檢測儀  FPGA  

          基于CCD16點(diǎn)數(shù)學(xué)模型的全自動(dòng)焦度計(jì)光學(xué)圖像系統(tǒng)的設(shè)計(jì)

          • 全自動(dòng)焦度儀光學(xué)系統(tǒng)是產(chǎn)品設(shè)計(jì)的核心,為了提高自動(dòng)焦度計(jì)的測量精度,提出一種新的測量圖像。該圖像在建立了16點(diǎn)數(shù)學(xué)模型并推導(dǎo)了鏡片相關(guān)參數(shù)的計(jì)算方法。該算法將16個(gè)點(diǎn)分為四組進(jìn)行計(jì)算,并取各組計(jì)算結(jié)果
          • 關(guān)鍵字: 自動(dòng)焦度計(jì)  16點(diǎn)數(shù)學(xué)模型  FPGA  面陣CCD  

          基于AD7892SQ和CPLD的數(shù)據(jù)采集系統(tǒng)

          • 0 引 言  本系統(tǒng)以AD7892SQ和CPLD(復(fù)雜可編程邏輯器件)為核心設(shè)計(jì)了一個(gè)多路信號(hào)采集電路,包括模擬多路復(fù)用、集成放大、A/D轉(zhuǎn)換,CPLD控制等。采用硬件描述語言V
          • 關(guān)鍵字: EDA  CPLD  FPGA  

          一種基于FPGA核系統(tǒng)的智能429-422信號(hào)轉(zhuǎn)換模塊的設(shè)計(jì)

          • 一種智能信號(hào)轉(zhuǎn)換模塊的設(shè)計(jì)方法。這種智能模塊采用了基于FPGA嵌入式軟核系統(tǒng),是基于NiosII軟核處理器的架構(gòu),可以在模塊上完全實(shí)現(xiàn)外部總線信號(hào)之間相互轉(zhuǎn)換,無需驅(qū)動(dòng)程序或操作系統(tǒng)的干預(yù)。同時(shí)對(duì)用戶邏輯設(shè)計(jì)、用戶邏輯集成、固件設(shè)計(jì)技術(shù)等內(nèi)容進(jìn)行了詳細(xì)的介紹。
          • 關(guān)鍵字: 智能  信號(hào)轉(zhuǎn)換  FPGA  模塊  

          數(shù)字測試儀下的參數(shù)測試單元的設(shè)計(jì)(圖)

          • 本文提出了一種高速度高精度的參數(shù)測量單元。該單元應(yīng)用于數(shù)字測試儀,具備16通道選通測試能力和可編程指令集,同時(shí)自帶的PID循環(huán)驗(yàn)證和Kelvin四線連接技術(shù)可以有效提高整個(gè)模擬參數(shù)測量精度,使測量儀在低于50Ω的負(fù)載情況下仍能維持不超過千分之一的測試誤差。
          • 關(guān)鍵字: 數(shù)字測試儀  PID循環(huán)驗(yàn)證  FPGA  

          基于FPGA技術(shù)高頻疲勞試驗(yàn)機(jī)控制器的設(shè)計(jì)

          • 現(xiàn)場可編程門陣列FPGA(FieldProgrammable Gate Array)是美國Xilinx公司于1984年首先開發(fā)的一種通用型用戶可編程器件。FPGA既具有門陣列器件的高集成度和通用性,又有可編程邏輯器件用戶可編程的靈活性。
          • 關(guān)鍵字: 定時(shí)器  FPGA  高頻疲勞試驗(yàn)機(jī)  單片機(jī)  控制器  

          信號(hào)處理器設(shè)計(jì)

          • 該系統(tǒng)很好的實(shí)現(xiàn)了3G移動(dòng)終端處理功能,但實(shí)際環(huán)境比仿真環(huán)境更復(fù)雜,需要給出解決辦法,然后再驗(yàn)證。目前該方案實(shí)現(xiàn)了384 kb/s工作,使用3個(gè)時(shí)隙(每個(gè)時(shí)隙128 kb/s)
          • 關(guān)鍵字: MAX2410  FFT  FPGA  
          共7200條 88/480 |‹ « 86 87 88 89 90 91 92 93 94 95 » ›|

          fpga-pwm介紹

          您好,目前還沒有人創(chuàng)建詞條fpga-pwm!
          歡迎您創(chuàng)建該詞條,闡述對(duì)fpga-pwm的理解,并與今后在此搜索fpga-pwm的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();