<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> fpga

          基于STEP FPGA的8色VGA功能驅(qū)動

          • 硬件說明VGA(video graphics array)即視頻圖形陣列,是IBM在1987年隨PS/2一起推出的使用模擬信號的一種視頻傳輸標準。VGA接口分公口和母口,如下圖:VGA接口引腳定義如下:一個標準的VGA接口應(yīng)該有以下端口:紅綠藍三色信號(RGB)行場同步信號(HSVS)以及很多的地屏蔽;三色信號都是模擬信號,行場同步信號都是數(shù)字信號;對于VGA的接口模擬電壓,為0~0.714V,0代表無色,0.714代表滿色,F(xiàn)PGA輸出3.3V,所以還必須要經(jīng)過DAC的轉(zhuǎn)換?,F(xiàn)今有兩種比較成熟的方法:電
          • 關(guān)鍵字: STEP-Baseboard  小腳丫核心板   FPGA  VGA功能驅(qū)動  

          Achronix推出基于FPGA的加速自動語音識別解決方案

          • 高性能FPGA芯片和嵌入式FPGA(eFPGA IP)領(lǐng)域的領(lǐng)先企業(yè)Achronix半導體公司日前自豪地宣布:正式推出Achronix與Myrtle.ai合作的最新創(chuàng)新——基于Speedster7t FPGA的自動語音識別(ASR)加速方案。這一變革性的解決方案,實現(xiàn)了高精度和快速響應(yīng),可將超過1000個并發(fā)的實時語音流轉(zhuǎn)換為文本,同時性能比競爭方案高20倍。Achronix于2023年11月12日至17日在丹佛舉辦的“2023年超級計算大會(SC23)”上演示了該方案。該解決方案由搭載Speedster
          • 關(guān)鍵字: Achronix  FPGA  自動語音識別  

          基于FPGA的DS18B20數(shù)字溫度傳感器測溫實例

          • 1、DS18B20數(shù)字溫度傳感器本文將使用三段式狀態(tài)機(Moore型)的寫法來對DS18B20進行測溫操作,以便了解DS18B20和熟悉三段式狀態(tài)機的寫法。1.1、概述溫度傳感器(temperature transducer)是指能感受溫度并轉(zhuǎn)換成可用輸出信號的傳感器, 是各種傳感器中最常用的一種。隨著現(xiàn)代儀器的發(fā)展,微型化、集成化、數(shù)字化正成為傳感器發(fā)展的一個重要方向。美國DALLAS半導體公司推出的數(shù)字化溫度傳 感器DS18B20采用單總線協(xié)議,即與FPGA接口僅需占用一個I/O端口,無須任何外部元件
          • 關(guān)鍵字: FPGA  DS18B2溫度傳感器  

          基于 STEP-MAX10M08核心板的簡易電子琴設(shè)計

          • 實驗任務(wù)任務(wù):基于 STEP-MAX10M08核心板 和 STEP BaseBoard V3.0底板 完成簡易電子琴設(shè)計并觀察調(diào)試結(jié)果要求:按動矩陣鍵盤,驅(qū)動底板無源蜂鳴器發(fā)出產(chǎn)生不同音調(diào),彈奏一首《小星星》。解析:通過FPGA編程驅(qū)動矩陣鍵盤電路,獲取矩陣鍵盤鍵入的信息,然后通過編碼將鍵盤輸出的信息譯碼成對應(yīng)的音節(jié)數(shù)據(jù),最后通過PWM發(fā)生模塊驅(qū)動底板上的無源蜂鳴器發(fā)出聲音。實驗目的在基礎(chǔ)數(shù)字電路實驗部分我們已經(jīng)掌握了FPGA設(shè)計PWM信號發(fā)生器的原理及方法,上節(jié)實驗中又學習了矩陣鍵盤的驅(qū)動原理及方法,本
          • 關(guān)鍵字: FPGA  電子琴  STEP-MAX10M08  STEP BaseBoard V3.0  

          嵌入式FPGA IP正在發(fā)現(xiàn)更廣闊的用武之地

          • 郭道正 Achronix Semiconductor中國區(qū)總經(jīng)理
          • 關(guān)鍵字: FPGA IP   Achronix  

          Altera MAX10: 交通燈控制

          • 簡易交通燈:本節(jié)將向您介紹Verilog語法之中的精髓內(nèi)容——狀態(tài)機,并且將利用狀態(tài)機實現(xiàn)十字路口的交通燈。====硬件說明與實現(xiàn)項目框圖====上圖為十字路口交通示意圖分之路與主路,要求如下:交通燈主路上綠燈持續(xù)15s的時間,黃燈3s的時間,紅燈10s的時間;交通燈支路上綠燈持續(xù)7s的時間, 黃燈持續(xù)3秒的時間,紅燈18秒的時間;根據(jù)上述要求,狀態(tài)機設(shè)計框架分析如下:S1:主路綠燈點亮,支路紅燈點亮,持續(xù)15s的時間;S2:主路黃燈點亮,支路紅燈點亮,持續(xù)3s的時間;S3:主路紅燈點亮,支路綠燈點亮,持
          • 關(guān)鍵字: 交通燈  狀態(tài)機  FPGA  Lattice Diamond  小腳丫  

          Lattice MXO2: 交通燈控制

          • 簡易交通燈:本節(jié)將向您介紹Verilog語法之中的精髓內(nèi)容——狀態(tài)機,并且將利用狀態(tài)機實現(xiàn)十字路口的交通燈。硬件說明與實現(xiàn)項目框圖上圖為十字路口交通示意圖分之路與主路,要求如下: * 交通燈主路上綠燈持續(xù)15s的時間,黃燈3s的時間,紅燈10s的時間; * 交通燈支路上綠燈持續(xù)7s的時間, 黃燈持續(xù)3秒的時間,紅燈18秒的時間;根據(jù)上述要求,狀態(tài)機設(shè)計框架分析如下: * S1:主路綠燈點亮,支路紅燈點亮,持續(xù)15s的時間; * S2:主路黃燈點亮,支路紅燈點亮,持續(xù)3s的時間; * S3:主路紅燈點亮,支
          • 關(guān)鍵字: 交通燈  狀態(tài)機  FPGA  Lattice Diamond  小腳丫  

          Lattice MXO2: LED呼吸燈

          • 呼吸燈:本節(jié),我們將通過脈寬調(diào)制技術(shù)來實現(xiàn)“呼吸燈”,實現(xiàn)LED的亮度由最暗逐漸增加到最亮,再逐漸變暗的過程。 脈沖寬度調(diào)制(PWM:Pulse Width Modulation),簡稱脈寬調(diào)制。它是利用微控制器的數(shù)字輸出調(diào)制實現(xiàn),是對模擬電路進行控制的一種非常有效的技術(shù),廣泛應(yīng)用于測量、通信、功率控制與變換等眾多領(lǐng)域。硬件說明呼吸燈的設(shè)計較為簡單,我們使用12MHz的系統(tǒng)時鐘作為高頻信號做分頻處理,調(diào)整占空比實現(xiàn)PWM,通過LED燈LD1指示輸出狀態(tài)。實現(xiàn)原理如上圖所示,脈沖信號的周期為T,高電平脈沖寬
          • 關(guān)鍵字: 呼吸燈  FPGA  Lattice Diamond  小腳丫  

          小而美FPGA為邊緣AI賦能

          • 1? ?小型和中端FPGA服務(wù)嵌入式AI領(lǐng)域?萊迪思經(jīng)過40多年的發(fā)展,目前擁有公司歷史上最強大的產(chǎn)品組合,其針對AI優(yōu)化、低功耗FPGA解決方案主要面向小型和中端FPGA市場。萊迪思旨在滿足客戶對各種網(wǎng)絡(luò)邊緣應(yīng)用日益增長的智能需求,提供超強適應(yīng)性的解決方案,幫助客戶跟進不斷發(fā)展的AI算法。萊迪思提供包括嵌入式AI在內(nèi)的領(lǐng)先的解決方案,服務(wù)于工業(yè)、汽車、通信、計算和消費類應(yīng)用。萊迪思sensAI?解決方案集合幫助客戶實現(xiàn)最新的工廠自動化和工業(yè)機器視覺應(yīng)用,其低功耗FPGA提供
          • 關(guān)鍵字: 202311  FPGA  萊迪思  

          創(chuàng)新加速,英特爾以全矩陣FPGA助產(chǎn)業(yè)智能化發(fā)展

          • 近日,以“創(chuàng)新加速,塑造FPGA芯未來”為主題的2023年英特爾? FPGA中國技術(shù)日在北京成功舉行。期間,英特爾不僅披露了包括Agilex? 3系列、Agilex? 5系列在內(nèi)的多款FPGA產(chǎn)品細節(jié)及其早期驗證計劃,同時亦分享了與產(chǎn)業(yè)伙伴在數(shù)據(jù)中心、AI、網(wǎng)絡(luò)、嵌入式等關(guān)鍵領(lǐng)域的諸多應(yīng)用,旨在以逐步擴大的產(chǎn)品組合進一步滿足廣泛細分市場需求的同時,深度展示英特爾在加速可編程創(chuàng)新、推動中國行業(yè)數(shù)智化進程上的重要作用。英特爾可編程方案事業(yè)部中國總經(jīng)理葉唯琛表示,“在新場景、新應(yīng)用海量增長的驅(qū)動下,中國本地市場
          • 關(guān)鍵字: 英特爾  FPGA  

          Altera MAX10: 計時控制

          • 計時控制在之前的實驗中我們掌握了如何進行時鐘分頻、如何進行數(shù)碼管顯示與按鍵消抖的處理,那么在本節(jié)實驗之中,我們將會實現(xiàn)一個籃球賽場上常見的24秒計時器。====硬件說明====在之前的實驗中我們?yōu)樽x者詳細介紹過小腳丫MXO2板卡上的按鍵、數(shù)碼管、LED等硬件外設(shè),在此不再贅述。本節(jié)將實現(xiàn)由數(shù)碼管作為顯示模塊,按鍵作為控制信號的輸入(包含復位信號和暫停信號),Altera MAX10作為控制核心的籃球讀秒系統(tǒng),實現(xiàn)框圖如下:====Verilog代碼====// *****************
          • 關(guān)鍵字: 計時器  FPGA  Lattice Diamond  小腳丫  

          Lattice MXO2: 計時控制

          • Warning: file_get_contents(https://www.eetree.cn/wiki/_media/%E8%AE%A1%E6%97%B6%E5%99%A8%E6%A1%86%E5%9B%BE.png?w=800&tok=0acdce): failed to open stream: HTTP request failed! HTTP/1.1 403 Forbidden in /var/www/html/www.edw.com.cn/www/rootapp/controll
          • 關(guān)鍵字: 計時器  FPGA  Lattice Diamond  小腳丫  

          Altera MAX10: 按鍵消抖

          • 按鍵消抖在之前的實驗中我們學習了如何用按鍵作為FPGA的輸入控制,在本實驗中將學習如何進行按鍵消抖,用按鍵完成更多的功能。====硬件說明====按鍵是一種常用的電子開關(guān),電子設(shè)計中不可缺少的輸入設(shè)備。當按下時使開關(guān)導通,松開時則開關(guān)斷開,內(nèi)部結(jié)構(gòu)是靠金屬彈片來實現(xiàn)通斷。按鍵抖動的原理抖動的產(chǎn)生 :通常的按鍵所用的開關(guān)為機械彈性開關(guān),當機械觸點斷開、閉合時,由于機械觸點的彈性作用,一個按鍵開關(guān)在閉合時不會馬上穩(wěn)定地接通,在斷開時也不會一下子斷開。因而在閉合及斷開的瞬間均伴隨有一連串的抖動,為了不產(chǎn)生這種現(xiàn)
          • 關(guān)鍵字: 消抖  FPGA  Lattice Diamond  小腳丫  

          Lattice MXO2: 按鍵消抖

          • 按鍵消抖在之前的實驗中我們學習了如何用按鍵作為FPGA的輸入控制,在本實驗中將學習如何進行按鍵消抖,用按鍵完成更多的功能。硬件說明按鍵是一種常用的電子開關(guān),電子設(shè)計中不可缺少的輸入設(shè)備。當按下時使開關(guān)導通,松開時則開關(guān)斷開,內(nèi)部結(jié)構(gòu)是靠金屬彈片來實現(xiàn)通斷。按鍵抖動的原理抖動的產(chǎn)生 :通常的按鍵所用的開關(guān)為機械彈性開關(guān),當機械觸點斷開、閉合時,由于機械觸點的彈性作用,一個按鍵開關(guān)在閉合時不會馬上穩(wěn)定地接通,在斷開時也不會一下子斷開。因而在閉合及斷開的瞬間均伴隨有一連串的抖動,為了不產(chǎn)生這種現(xiàn)象而作的措施就是
          • 關(guān)鍵字: 消抖  FPGA  Lattice Diamond  小腳丫  

          Altera MAX10: LED流水燈

          • 在時鐘分頻實驗中我們練習了如何處理時鐘,接下來我們要學習如何利用時鐘來完成時序邏輯。====硬件說明====流水燈實現(xiàn)是很常見的一個實驗,雖然邏輯比較簡單,但是里面也包含了實現(xiàn)時序邏輯的基本思想。要用FPGA實現(xiàn)流水燈有很多種方法,在這里我們會用兩種不同的方法實現(xiàn)。1,模塊化設(shè)計:在之前的實驗中我們做了3-8譯碼器和時鐘分頻,如果把這兩個結(jié)合起來,我們就能搭建一個自動操作的流水LED顯示。框圖如下:2,循環(huán)賦值:這是一種很簡潔的實現(xiàn)流水燈效果邏輯,就是定義一個8位的變量,在每個時鐘上升沿將最低位賦值給最高
          • 關(guān)鍵字: 流水燈  FPGA  Lattice Diamond  小腳丫  
          共6364條 9/425 |‹ « 7 8 9 10 11 12 13 14 15 16 » ›|

          fpga介紹

          FPGA是英文Field-Programmable Gate Array的縮寫,即現(xiàn)場可編程門陣列,它是在PAL、GAL、EPLD等可編程器件的基礎(chǔ)上進一步發(fā)展的產(chǎn)物。它是作為專用集成電路(ASIC)領(lǐng)域中的一種半定制電路而出現(xiàn)的,既解決了定制電路的不足,又克服了原有可編程器件門電路數(shù)有限的缺點。 FPGA采用了邏輯單元陣列LCA(Logic Cell Array)這樣一個新概念,內(nèi)部包括可 [ 查看詳細 ]
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();