<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> rf-fpga

          用Xilinx FPGA實(shí)現(xiàn)DDR SDRAM控制器

          • 1 引言在高速信號處理系統(tǒng)中, 需要緩存高速、大量的數(shù)據(jù), 存儲器的選擇與應(yīng)用已成為系統(tǒng)實(shí)現(xiàn)的關(guān)鍵所在。DDR SDRAM是一種高速CMOS、動態(tài)隨機(jī)訪問存儲器, 它采用雙倍數(shù)據(jù)速率結(jié)構(gòu)來完成高速操作。SDR SDRAM一個(gè)時(shí)鐘周
          • 關(guān)鍵字: Xilinx  SDRAM  FPGA  DDR    

          基于JTAG接口實(shí)現(xiàn)ARM的FPGA在線配置

          •   引 言   隨著通信技術(shù)的發(fā)展,出現(xiàn)越來越多的無線接入技術(shù),為了解決不同標(biāo)準(zhǔn)間的互通和兼容,人們提出了 ...
          • 關(guān)鍵字: JTAG接口  ARM  FPGA  在線配置  S3C2410  

          一種基于ARM-Linux的FPGA程序加載方法

          • 摘要:本文實(shí)現(xiàn)了一種基于 ARM-Linux的 FPGA程序加載方法,詳細(xì)討論了加載過程中各個(gè)階段程序?qū)ε渲霉苣_的操作, ...
          • 關(guān)鍵字: ARM  Linux  FPGA  程序加載  

          基于Xilinx FPGA的電力諧波檢測的設(shè)計(jì)

          • 基于FFT算法的電力系統(tǒng)諧波檢測裝置,大多采用DSP芯片設(shè)計(jì)。DSP芯片是采用哈佛結(jié)構(gòu)設(shè)計(jì)的一種CPU,運(yùn)算能力很強(qiáng),速度很快;但是其順序 執(zhí)行的模式限制了其進(jìn)行FFT運(yùn)算的速度。而現(xiàn)場可編程邏輯門陣列(Field Progra
          • 關(guān)鍵字: 檢測  設(shè)計(jì)  諧波  電力  Xilinx  FPGA  基于  

          基于FPGA的等精度頻率計(jì)的設(shè)計(jì)與實(shí)現(xiàn)

          • 現(xiàn)場可編程門陣列FPGA(Field Programmable GateArray)屬于ASIC產(chǎn)品,通過軟件編程對目標(biāo)器件的結(jié)構(gòu)和工作方式進(jìn)行重構(gòu),能隨時(shí)對設(shè)計(jì)進(jìn)行調(diào)整,具有集成度高、結(jié)構(gòu)靈活、開發(fā)周期短、快速可靠性高等特點(diǎn),數(shù)字設(shè)計(jì)在
          • 關(guān)鍵字: FPGA  等精度頻率計(jì)    

          基于FPGA的LED顯示屏控制方案

          • LED(LightEmittingDiode)大屏幕作為現(xiàn)代信息發(fā)布的重要媒體,正受到社會各界尤其是商業(yè)界和廣告界的極大重視,...
          • 關(guān)鍵字: FPGA  LED  顯示屏  

          基于FPGA的彩色LED大屏幕控制系統(tǒng)

          • LED(LightEmittingDiode)大屏幕作為現(xiàn)代信息發(fā)布的重要媒體,正受到社會各界尤其是商業(yè)界和廣告界的極大...
          • 關(guān)鍵字: FPGA  LED  大屏幕控制  

          基于FPGA的大屏幕全彩LED掃描控制器設(shè)計(jì)

          • 1引言作為大型平板顯示設(shè)備的一種,LED顯示屏以其使用壽命長、維護(hù)費(fèi)用低、功耗低等特點(diǎn)在顯示領(lǐng)...
          • 關(guān)鍵字: FPGA  大屏幕  LED  

          基于FPGA的數(shù)字存儲示波器的顯示技術(shù)

          • 1引言由于液晶顯示器(LCD)功耗低,體積小,超薄,重量輕,而且車身沒有畫面幾何圖形的失真及收斂性誤差,也...
          • 關(guān)鍵字: FPGA  數(shù)字存儲  示波器  

          嵌入式系統(tǒng)聯(lián)誼會“FPGA在嵌入式系統(tǒng)中的應(yīng)用”主題討論會圓滿結(jié)束

          • 隨著微電子技術(shù)和軟件技術(shù)的發(fā)展,嵌入式處理器、專用數(shù)字器件、外設(shè)和DSP算法正在以IP核的方式嵌入到FGPA中,以單芯片可編程FPGA完成整個(gè)嵌入式系統(tǒng)設(shè)計(jì)已成為現(xiàn)實(shí)。國際和國內(nèi)的眾多FPGA公司都具有這樣的芯片產(chǎn)品,并在通信、工業(yè)控制等領(lǐng)域具有廣泛的應(yīng)用。
          • 關(guān)鍵字: 嵌入式系統(tǒng)  FPGA  SoC  

          基于DSP+FPGA多視頻通道的切換控制

          • 基于DSP+FPGA多視頻通道的切換控制,隨著計(jì)算機(jī)和數(shù)字圖像處理技術(shù)的飛速發(fā)展,視頻監(jiān)控技術(shù)應(yīng)用廣泛。傳統(tǒng)的視頻監(jiān)控系統(tǒng)都是用單一攝像頭對某一固定場景進(jìn)行監(jiān)控,不僅視頻的視野范圍有限,而且不能對同一個(gè)物體的不同方位進(jìn)行監(jiān)控。這里提出了一種多
          • 關(guān)鍵字: 切換  控制  通道  視頻  DSP  FPGA  基于  

          基于FPGA的多功能數(shù)字鐘設(shè)計(jì)

          • 文中簡要介紹了一種基于FPGA的多功能數(shù)字鐘設(shè)計(jì)方案。在實(shí)現(xiàn)數(shù)字鐘計(jì)時(shí)、校時(shí)和整點(diǎn)報(bào)時(shí)等基本功能的基礎(chǔ)上增加世界時(shí)鐘功能,能夠?qū)⒈本r(shí)間快速轉(zhuǎn)換為格林威治標(biāo)準(zhǔn)時(shí)。該方案采用VHDL和原理圖相結(jié)合的設(shè)計(jì)輸入方式,在QuartusⅡ開發(fā)環(huán)境下完成設(shè)計(jì)、編譯和仿真,并在FPGA硬件開發(fā)板上進(jìn)行測試,實(shí)驗(yàn)證明該設(shè)計(jì)方案切實(shí)可行,對FPGA的應(yīng)用和數(shù)字鐘的設(shè)計(jì)具有一定參考價(jià)值。
          • 關(guān)鍵字: FPGA  多功能  數(shù)字鐘設(shè)計(jì)    

          基于FPGA的多通道直流電機(jī)控制器設(shè)計(jì)

          • 設(shè)計(jì)了一種基于FPGA的多通道直流電機(jī)控制系統(tǒng),充分利用FPGA并行控制的特點(diǎn),采用自項(xiàng)而下的設(shè)計(jì)方法,將系統(tǒng)劃分為轉(zhuǎn)速測量模塊,并行控制模塊,PWM生成模塊,電機(jī)驅(qū)動模塊。采用Verilog HDL語言實(shí)現(xiàn)完成了對多通道直流電機(jī)的控制。通過Quartus II自帶仿真功能對系統(tǒng)進(jìn)行時(shí)序仿真表明系統(tǒng)結(jié)構(gòu)簡單,且具有良好的功能擴(kuò)展性。
          • 關(guān)鍵字: FPGA  多通道  直流電機(jī)  制器設(shè)計(jì)    

          基于FPGA的RBF神經(jīng)網(wǎng)絡(luò)的硬件實(shí)現(xiàn)

          • 介紹了RBF神經(jīng)網(wǎng)絡(luò),并采用CORDIC算法實(shí)現(xiàn)了其隱層非線性高斯函數(shù)的映射。同時(shí),為縮減ROM表的存儲空間并提高查表效率,本設(shè)計(jì)還采用了基于STAM算法的非線性存儲。最后,以Altera公司開發(fā)的EDA工具QuarlusⅡ作為編譯、仿真平臺,采用Cyclone系列中的EP1C6Q 240C8器件,實(shí)現(xiàn)了RBF神經(jīng)網(wǎng)絡(luò)在FPGA上的實(shí)現(xiàn),并以XOR問題為算例進(jìn)行硬件仿真,得出仿真結(jié)果與理論值一致。
          • 關(guān)鍵字: FPGA  RBF  神經(jīng)網(wǎng)絡(luò)  硬件實(shí)現(xiàn)    

          基于FPGA的OLED真彩色顯示設(shè)計(jì)方案

          • 利用FPGA控制模塊,設(shè)計(jì)了OLED真彩色動態(tài)圖像驅(qū)動控制電路。介紹采用FPGA實(shí)現(xiàn)OLED外圍控制電路和256級灰...
          • 關(guān)鍵字: FPGA  OLED    顯示設(shè)計(jì)  
          共7012條 210/468 |‹ « 208 209 210 211 212 213 214 215 216 217 » ›|

          rf-fpga介紹

          您好,目前還沒有人創(chuàng)建詞條rf-fpga!
          歡迎您創(chuàng)建該詞條,闡述對rf-fpga的理解,并與今后在此搜索rf-fpga的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();