<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁(yè)  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問(wèn)答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁(yè) >> 主題列表 >> rf-fpga

          基于FPGA的2FSK數(shù)字信號(hào)調(diào)制解調(diào)

          • 基于FPGA的2FSK數(shù)字信號(hào)調(diào)制解調(diào),摘要 基于FPGA的調(diào)制和解調(diào)的數(shù)字信號(hào)有多種,包括2ASK、2FSK、2PSK等,文中介紹了2FSK信號(hào)的調(diào)制與解調(diào),以及該信號(hào)的功率譜。最后提供驗(yàn)證結(jié)果,證明仿真結(jié)果符合要求。
            關(guān)鍵詞 2FSK;調(diào)制;解調(diào)

            隨著通信
          • 關(guān)鍵字: 調(diào)制  解調(diào)  信號(hào)  數(shù)字  FPGA  2FSK  基于  

          基于FPGA的MSK調(diào)制器設(shè)計(jì)與實(shí)現(xiàn)

          • 摘要:介紹了MSK信號(hào)的優(yōu)點(diǎn),并分析了其實(shí)現(xiàn)原理,提出一種MSK高性能數(shù)字調(diào)制器的FPGA實(shí)現(xiàn)方案;采用自頂向下的設(shè)計(jì)思想,將系統(tǒng)分成串/并變換器、差分編碼器、數(shù)控振蕩器、移相器、乘法電路和加法電路等6大模塊,重
          • 關(guān)鍵字: FPGA  MSK  制器設(shè)計(jì)    

          詳細(xì)講解Vivado設(shè)計(jì)套件帶來(lái)的益處

          基于FPGA和DSP組合在無(wú)線基站中的應(yīng)用

          • 電子產(chǎn)品世界,為電子工程師提供全面的電子產(chǎn)品信息和行業(yè)解決方案,是電子工程師的技術(shù)中心和交流中心,是電子產(chǎn)品的市場(chǎng)中心,EEPW 20年的品牌歷史,是電子工程師的網(wǎng)絡(luò)家園
          • 關(guān)鍵字: DSP  FPGA  無(wú)線基站  

          基于FPGA的多項(xiàng)式運(yùn)算器設(shè)計(jì)

          • 摘要:在級(jí)數(shù)的基礎(chǔ)上,設(shè)計(jì)一種基于FPGA的多項(xiàng)式運(yùn)算器。利用該運(yùn)算器可以在數(shù)字系統(tǒng)設(shè)計(jì)中更好地處理和應(yīng)用各種函數(shù)。首先實(shí)現(xiàn)基于FPGA的多項(xiàng)式運(yùn)算器,利用這個(gè)基本單元,進(jìn)而實(shí)現(xiàn)了比較復(fù)雜的函數(shù)。經(jīng)過(guò)驗(yàn)證,該
          • 關(guān)鍵字: FPGA  運(yùn)算器    

          基于Xilinx-Spartan6 FPGA的MultiBoot設(shè)計(jì)的實(shí)現(xiàn)

          • 摘要 介紹了Multibool的兩種實(shí)現(xiàn)方法。通過(guò)Xilinx Spartan-6 FPGA的Multiboot特性,允許用戶一次將多個(gè)配置文件下載入Flash中,根據(jù)不同時(shí)刻的需求,在不掉電重啟的情況下,從中選擇一個(gè)來(lái)重配置FPGA,實(shí)現(xiàn)不同功能,
          • 關(guān)鍵字: Xilinx-Spartan  MultiBoot  FPGA    

          利用C語(yǔ)言對(duì)FPGA計(jì)算解決方案進(jìn)行編程方法介紹

          • 硬件設(shè)計(jì)者已經(jīng)開(kāi)始在高性能DSP的設(shè)計(jì)中采用FPGA技術(shù),因?yàn)樗梢蕴峁┍然赑C或者單片機(jī)的解決方法快上10-100倍的運(yùn)算量。以前,對(duì)硬件設(shè)計(jì)不熟悉的軟件開(kāi)發(fā)者們很難發(fā)揮出FPGA的優(yōu)勢(shì),而如今基于C語(yǔ)言的方法可以讓
          • 關(guān)鍵字: FPGA  C語(yǔ)言  計(jì)算  方案    

          Linux系統(tǒng)環(huán)境下的FPGA驅(qū)動(dòng)方案解析

          • Linux操作系統(tǒng)的全稱是GNU/Linux,它是由GNU工程和Linux內(nèi)核兩個(gè)部分共同組成的一個(gè)操作系統(tǒng)。該系統(tǒng)中所有組件的源代碼都是自由的,可以有效保護(hù)學(xué)習(xí)成果,因而在嵌入式領(lǐng)域得到了廣泛的應(yīng)用。FPGA是英文Field Prog
          • 關(guān)鍵字: Linux  FPGA  系統(tǒng)環(huán)境  驅(qū)動(dòng)方案    

          基于FPGA的機(jī)器視覺(jué)設(shè)計(jì)

          • 摘要:為實(shí)現(xiàn)系統(tǒng)快速更新,在此設(shè)計(jì)了一種新的機(jī)器視頻解決方案,借助FPGA技術(shù),實(shí)現(xiàn)視頻輸入端口與Gige Vision IP的使用以及系統(tǒng)與計(jì)算機(jī)主機(jī)的連接。設(shè)計(jì)方案中采用了新的Gige Vision標(biāo)準(zhǔn)及Gige Vision IP核,使系
          • 關(guān)鍵字: FPGA  機(jī)器視覺(jué)    

          高性能維特比在衛(wèi)星導(dǎo)航接收機(jī)中FPGA實(shí)現(xiàn)

          • 摘要:衛(wèi)星定位接收機(jī)中卷積碼譯碼即維特比譯碼器,在處理器中面臨占有資源較多、處理時(shí)間過(guò)長(zhǎng)等問(wèn)題,為了減少處理器資源的占用和提高處理速度,采用并行加比選蝶形單元的的方法,在FPGA平臺(tái)上用硬件描述語(yǔ)言設(shè)計(jì)一
          • 關(guān)鍵字: FPGA  性能  衛(wèi)星導(dǎo)航  接收機(jī)    

          基于FPGA的Kalman濾波器實(shí)現(xiàn)研究

          • 摘要:卡爾曼(Kalman)濾波計(jì)算精度和速度是工程應(yīng)用中是否成功的決定性條件,為進(jìn)一步提高Kalman濾波算法在更復(fù)雜的環(huán)境下使用的性能,并能夠同時(shí)滿足實(shí)時(shí)性和精度的要求,采用現(xiàn)場(chǎng)可編程邏輯陣列(FPGA)技術(shù),設(shè)計(jì)了
          • 關(guān)鍵字: Kalman  FPGA  濾波器  實(shí)現(xiàn)研究    

          利用FPGA處理TMS320C54x與SDRAM的接口問(wèn)題

          • 摘要:介紹了如何利用FPGA設(shè)計(jì)TMS320C54x系列芯片與TMS62812ASDRAM之間的接口。這種接口方法適合于需要外擴(kuò)...
          • 關(guān)鍵字: FPGA  TMS320C54x  SDRM  

          Achronix全新Speedster22i系列FPGA直接面向目標(biāo)應(yīng)用

          • Achronix 半導(dǎo)體公司今日宣布了其 Speedster22i HD和HP產(chǎn)品系列的細(xì)節(jié),它們是將采用英特爾22nm技術(shù)工藝制造的首批現(xiàn)場(chǎng)可編程門陣列(FPGA)產(chǎn)品。Speedster22i FPGA產(chǎn)品是業(yè)內(nèi)唯一針對(duì)應(yīng)用的高端FPGA,而且僅消耗28nm高端的FPGA一半的功率,成本也僅為它的一半。
          • 關(guān)鍵字: Achronix  FPGA  Speedster22i  

          使用時(shí)鐘周期約束的優(yōu)勢(shì)

          • 摘要:通過(guò)賽靈思ISE及工具行為方式深入了解TS_clk約束,在FPGA設(shè)計(jì)方案中獲得高效率時(shí)序收斂。
          • 關(guān)鍵字: 賽靈思  FPGA  201204  

          基于FPGA和DDS的數(shù)控信號(hào)源的設(shè)計(jì)與實(shí)現(xiàn)

          • 摘要 以FPGA為核心,根據(jù)DDS原理設(shè)計(jì)數(shù)控信號(hào)源,采用VHDL語(yǔ)言實(shí)現(xiàn)各功能模塊。該信號(hào)源可輸出正弦渡、方波和三角波,輸出信號(hào)的頻率以數(shù)控方式調(diào)節(jié),幅度連續(xù)可調(diào)。與傳統(tǒng)信號(hào)源相比,該信號(hào)源具有波形質(zhì)量好、精度
          • 關(guān)鍵字: 設(shè)計(jì)  實(shí)現(xiàn)  信號(hào)源  數(shù)控  FPGA  DDS  基于  
          共7012條 246/468 |‹ « 244 245 246 247 248 249 250 251 252 253 » ›|

          rf-fpga介紹

          您好,目前還沒(méi)有人創(chuàng)建詞條rf-fpga!
          歡迎您創(chuàng)建該詞條,闡述對(duì)rf-fpga的理解,并與今后在此搜索rf-fpga的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹(shù)莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();