<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> rf-fpga

          基于FPGA的鎖相環(huán)位同步提取電路設計

          •   概述   同步是通信系統(tǒng)中一個重要的問題。在數字通信中,除了獲取相干載波的載波同步外,位同步的提取是更為重要的一個環(huán)節(jié)。因為只有確定了每一個碼元的起始時刻,才能對數字信息作出正確的判決。利用全數字鎖相環(huán)可直接從接收到的單極性不歸零碼中提取位同步信號。   一般的位同步電路大多采用標準邏輯器件按傳統(tǒng)數字系統(tǒng)設計方法構成,具有功耗大,可靠性低的缺點。用FPGA設計電路具有很高的靈活性和可靠性,可以提高集成度和設計速度,增強系統(tǒng)的整體性能。本文給出了一種基于fpga的數字鎖相環(huán)位同步提取電路。   數
          • 關鍵字: FPGA 鎖相環(huán) 分頻器  

          PLD公司三極化形成

          • 可編程邏輯器件(PLD)在與ASIC之激戰(zhàn)中已經告捷:每年開始PLD設計的項目數目遠遠高于ASIC項目開工數。同時,PLD廠家之間也發(fā)生微妙的變化,由崛起時的爭強好斗和互不相讓,漸漸找到了各自的落腳點。目前看來,Xilinx的產品穩(wěn)居65nm FPGA市場,Altera最大的量產在90nm FPGA,Actel憑低功耗0.13微米FPGA在對功耗要求苛刻的領域站穩(wěn)了腳跟。昔日的兩個龐然大物——Xilinx和Altera之間拉開了距離,同時小型FPGA廠商如Actel躍躍欲試,漸漸跳
          • 關鍵字: PLD FPGA ASIC  

          Xilinx屢獲殊榮的65nm Virtex-5系列新增三款器件

          •   賽靈思公司宣布為其屢獲殊榮的65nm Virtex?-5 LX 和 LXT FPGA平臺增加三款新型小尺寸封裝器件,以滿足新興市場對可編程邏輯器件成本和密度的要求。其中邏輯優(yōu)化的LX平臺增加了Virtex-5 LX155器件,Virtex-5 LXT平臺則增加了LX20T以及LX155T器件,外加帶有低功率收發(fā)器的小尺寸 19mm FF323封裝。這些新增器件將支持工業(yè)網絡、醫(yī)療影像、馬達控制、國防和高性能計算應用等領域 實現(xiàn)更高水平的成本優(yōu)化。   “由于Virtex-5系
          • 關鍵字: 賽靈思 FPGA 可編程邏輯器件  

          Mirics 新增廣播調諧產品系列,用于便攜消費電子產品和手持應用

          •   來自英國的為地面廣播接收應用提供創(chuàng)新可配置 RF 解決方案的Mirics 半導體公司,今天宣布其產品系列新增了 MSi002 多波段調諧器 IC。 MSi002 調諧器帶有工業(yè)標準的 12C 控制接口,可支持老式單一標準和多標準解調器 IC。Mirics 通過使用創(chuàng)新設計技術進行工程設計,MSi002 能夠向消費電子設備提供高性能廣播接收解決方案,這些電子設備包括移動手持設備、便攜收音機、PMP、筆記本電腦和 USB TV 加密狗。該裝置是對 Mirics 的突破性 MSi001 調諧器的補充,MSi
          • 關鍵字: RF Mirics 半導體  

          Altera的Stratix II GX FPGA提供50-Gbps SFI-5接口

          •   Altera公司宣布,帶有嵌入式收發(fā)器的Stratix? II GX FPGA支持SERDES成幀器接口Level 5 (SFI-5)標準,為高性能光通信應用提供40至50-Gbps接口。SFI-5規(guī)范是芯片至芯片標準,保證了前向糾錯(FEC)技術、成幀器以及業(yè)界最佳光轉發(fā)器之間的通用性。硬件測試驗證了Stratix II GX FPGA符合SFI-5標準,其20個高速串行收發(fā)器通道的數據速率在600 Mbps至6.375 Gbps之間,很容易滿足SFI-5接口要求。   SFI-5光互聯(lián)論
          • 關鍵字: Altera FPGA 芯片  

          基于SOPC的工業(yè)大型吊車吊鉤位置測量的設計

          • 本文提出了應用FPGA和編碼器實現(xiàn)基于SOPC的工業(yè)吊車吊鉤的位置測量。該設計通過對于相關編碼器輸出信號的采集處理實現(xiàn)了對于吊鉤垂直距離的測量,并且對于在應用實踐中的問題進行了討論。
          • 關鍵字: SOPC  FPGA  位置測量  

          Actel的 ProASIC3L系列實現(xiàn)低功耗高速度和低成本之間的平衡

          •   Actel公司進一步擴展其業(yè)界領先的低功耗可編程解決方案組合,面向高性能及對功耗敏感的系統(tǒng)設計人員推出ProASIC3L系列現(xiàn)場可編程門陣列 (FPGA)。相比前一代ProASIC3 FPGA,新推出的以 Flash為基礎的FPGA系列可以在高達350MHz的工作頻率下大幅降低功耗,能分別對動態(tài)和靜態(tài)功耗降低達40% 和 90%,從而為工業(yè)、醫(yī)療和科研等高性能市場領域的設計人員提供高速度、低功耗及低成本的靈活且功能豐富的解決方案。ProASIC3L系列還支持FPGA優(yōu)化32位ARM Cortex-M1
          • 關鍵字: Actel 可編程 FPGA   

          基于FPGA的數字濾波器的設計與實現(xiàn)

          •   在信息信號處理過程中,如對信號的過濾、檢測、預測等,都要使用到濾波器,數字濾波器是數字信號處理中使用最廣泛的一種方法,常用的數字濾波器有無限長單位脈沖響應(IIR)濾波器和有限長單位脈沖響應(FIR)濾波器兩種[1]。對于應用設計者,由于開發(fā)速度和效率的要求很高,短期內不可能全面了解數字濾波器相關的優(yōu)化技術,需要花費很大的精力才能使設計出的濾波器在速度、資源利用、性能上趨于較優(yōu)。而采用調試好的IP核需要向Altera公司購買。本文采用了一種基于DSP Builder的FPGA設計方法,以一個低通的16
          • 關鍵字: FPGA 數字濾波器  

          TD-SCDMA系統(tǒng)基帶處理的DSP+FPGA實現(xiàn)方案

          • 本文首先介紹TD-SCDMA系統(tǒng)無線信道的基帶發(fā)送方案,說明其對多媒體業(yè)務的支持及實現(xiàn)的復雜性。然后,從硬件實現(xiàn)角度,進行了DSP和FPGA的性能比較,提出DSP+FPGA基帶發(fā)送的實現(xiàn)方案,并以基站分系統(tǒng)(BTS)的發(fā)送單元為例,具體給出了該實現(xiàn)方案在下行無線信道基帶發(fā)送單元中的應用。
          • 關鍵字: TD-SCDMA,DSP+FPGA  

          SiGe半導體推出全新射頻前端模塊實現(xiàn)無線多媒體應用

          •   SiGe半導體公司現(xiàn)已推出兩款高性能射頻 (RF) 前端模塊,型號為 SE2547A 和 SE2548A,可在游戲控制臺、臺式電腦與筆記本電腦和家庭接入點等客戶端訪問設備中,實現(xiàn)新的無線多媒體服務。   SE2547A 和 SE2548A是完整的802.11a/b/g/n WLAN RF前端模塊,可為雙頻帶Wi-Fi? 系統(tǒng)提供收發(fā)器和天線之間所需的全部功能。每個器件模塊均經過全面測試,并整合了必需的功率放大器、濾波器、功率檢測器、分集開關、雙工器以及相關匹配電路,而尺寸大小僅為25 平方毫
          • 關鍵字: SiGe 半導體 RF   

          Altera發(fā)售業(yè)界容量最大的FPGA,具有340K邏輯單元

          •   Altera公司宣布開始提供業(yè)界容量最大的FPGA。Altera 65-nm Stratix? III系列的型號之一EP3SL340具有業(yè)界最大的340K邏輯單元(LE)容量,支持DDR3存儲器,接口速率超過1067 Mbps,功耗在所有的大容量、高性能邏輯器件(PLD)中是最低的。Stratix III FPGA是各類最終市場多種應用的理想解決方案,包括通信、計算機、存儲以及軍事和航空航天等領域。
          • 關鍵字: Altera  FPGA  存儲器  

          提高ASIC驗證的速度與可視性

          •   前言   高性能、高容量FPGA在ASIC/SoC原型設計及系統(tǒng)兩方面的應用持續(xù)增長。這些設計通常包括硬件及嵌入式軟件(也可能包括應用軟件)的復雜組合,這給系統(tǒng)驗證帶來了巨大負擔,原因是檢測、隔離、調試及校正故障要比最初設計所花費的時間、資金和工程資源多得多。   由于軟硬件之間交互作用相當復雜且無法預見,僅僅是找到深藏于系統(tǒng)中的故障就需要進行長時間的測試序列,而且隨后的調試過程還需要花費更多的時間及精力。另外,如果驗證測試使用視頻流等實際數據時,那么間發(fā)故障將很難(如果并非不可能)重現(xiàn)。   
          • 關鍵字: FPGA  ASIC  模擬器  

          TMS320C61416 EMIF下雙FPGA加載設計

          •   基于SRAM結構的FPGA容量大,可重復操作,應用相當廣泛;但其結構類似于SRAM,掉電后數據丟失,因此每次上電時都需重新加載。   目前實現(xiàn)加載的方法通常有兩種:一種是用專用Cable通過JTAG口進行數據加載,另一種是外掛與該FPGA廠商配套的PROM芯片。前者需要在PC機上運行專用的加載軟件,直接下載到FPGA片內,所以掉電數據仍然會丟失,只適用于FPGA調試階段而不能應用于工業(yè)現(xiàn)場的數據加載。   后者雖然可以解決數據丟失問題,但這種專用芯片成本較高,供貨周期也較長(一般大于2個月),使F
          • 關鍵字: SRAM  TMS320C61416  FPGA  

          實現(xiàn)靈活的汽車電子設計

          •   微控制器在汽車和消費類市場上得到了廣泛的應用,其主要優(yōu)勢在于能夠以相對較低的成本實現(xiàn)系統(tǒng)高度集成。然而,這類產品也有潛在的成本問題。例如,如果元件功能不切合要求,就必須采用外部邏輯、軟件或其他集成器件來進行擴展。此外,隨著最終市場需求的迅速變化,微控制器會很快過時。許多具有一定數量專用接口的特殊功能微控制器在經過短期試用后,并不能完全滿足市場需求,系統(tǒng)供應商不得不重新設計硬件和軟件,甚至在某些情況下對處理器內核進行改動。   ASSP微控制器面臨的兩難   傳統(tǒng)微控制器生產商面臨影響整個市場的兩難
          • 關鍵字: 微控制器  ASSP  FPGA  

          ARM7與FPGA相結合在工業(yè)控制和故障檢測中的應用

          •   工業(yè)控制中往往需要完成多通道故障檢測及多通道命令控制(這種多任務設置非常普遍),單獨的CPU芯片由于其外部控制接口數量有限而難以直接完成多路檢控任務,故利用ARM芯片與FPGA相結合來擴展檢控通道是一個非常好的選擇。這里介紹用Atmel公司ARM7處理器(AT91FR40162)和ALTERA公司的低成本FPGA芯片(cyclone2)結合使用完成多通道檢控任務的一種實現(xiàn)方法。   各部分功能簡介   圖1為此系統(tǒng)的結構連接框圖。如圖所示,ARM芯片與FPGA芯片之間通過數據總線、地址總線及讀寫控
          • 關鍵字: CPU  芯片  FPGA  MCU和嵌入式微處理器  
          共7012條 426/468 |‹ « 424 425 426 427 428 429 430 431 432 433 » ›|

          rf-fpga介紹

          您好,目前還沒有人創(chuàng)建詞條rf-fpga!
          歡迎您創(chuàng)建該詞條,闡述對rf-fpga的理解,并與今后在此搜索rf-fpga的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹莓派    linux   
          關于我們 - 廣告服務 - 企業(yè)會員服務 - 網站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產品世界》雜志社 版權所有 北京東曉國際技術信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();