<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> rf-fpga

          基于FPGA和LabView的遙測信號模擬源設(shè)計

          • 摘要:基于FPGA和LabView設(shè)計了用于某遙測組件測試的專用設(shè)備。運用DDS技術(shù)實現(xiàn)傳輸速率可變的LNDS信號,并使用LabView圖形編程工具,實現(xiàn)了數(shù)字信號源的交互界面,可以產(chǎn)生由上住機程控信號傳輸速率和數(shù)據(jù)內(nèi)容可變的
          • 關(guān)鍵字: LabView  FPGA  遙測信號  模擬源    

          基于DSP與FPGA的藍(lán)牙數(shù)據(jù)采集系統(tǒng)

          • 數(shù)據(jù)采集系統(tǒng)廣泛地應(yīng)用于工業(yè)、國防、圖像處理、信號檢測等領(lǐng)域。DSP處理器是一種高速的數(shù)字信號處理...
          • 關(guān)鍵字: DSP  FPGA  藍(lán)牙數(shù)據(jù)  采集系統(tǒng)  

          基于FPGA的圖像增強視頻處理系統(tǒng)

          • 圖像增強處理有很強的針對性,沒有統(tǒng)一的評價標(biāo)準(zhǔn),從一般的圖片、視頻欣賞角度來說,濾除噪聲、擴(kuò)展對比度、銳化...
          • 關(guān)鍵字: FPGA  圖像增強  

          用LatticeXP FPGA 橋接吉比特媒體獨立接口

          • 吉比特媒體獨立接口是一種以太網(wǎng)接口,簡稱GMII(Gigabit Media Independent Interface)。簡化的吉比特媒體獨立接口稱為RGMII(Reduced Gigabit Media Independent Interface)。采用RGMII的目的是降低電路成本,使
          • 關(guān)鍵字: 獨立  接口  媒體  比特  FPGA  橋接  LatticeXP  

          基于FPGA的智能小車設(shè)計

          • 摘要:介紹基于FPGA的智能小豐設(shè)計,小車包括在FPGA上構(gòu)建以NiosⅡ嵌入式系統(tǒng)為核心的控制電路、傳感器電路、動力及轉(zhuǎn)向電路、LCM電路、溫度和濕度測量電路、無線數(shù)據(jù)收發(fā)電路。在NiosⅡ集成開發(fā)環(huán)境(IDE)縞寫C語言程
          • 關(guān)鍵字: 設(shè)計  小車  智能  FPGA  基于  

          基于FPGA高速并行采樣技術(shù)的研究

          • 摘要:介紹一種基于四通道ADC的高速交錯采樣設(shè)計方法以及在FPGA平臺上的實現(xiàn)。著重闡述四通道高速采樣時鐘的設(shè)計與實現(xiàn)、高速數(shù)據(jù)的同步接收以及采樣數(shù)據(jù)的校正算法。實驗及仿真結(jié)果表明,同步數(shù)據(jù)采集的結(jié)構(gòu)設(shè)計和預(yù)
          • 關(guān)鍵字: FPGA  高速并行  采樣技術(shù)    

          基于FPGA的三模冗余容錯技術(shù)研究

          • 摘要:基于SRAM的FPGA對于空間粒子輻射非常敏感,很容易產(chǎn)生軟故障,所以對基于FPGA的電子系統(tǒng)采取容錯措施以防止此類故障的出現(xiàn)是非常重要的。三模冗余(TMR)方法以其實現(xiàn)的簡單性和效果的可靠性而被廣泛用于對單粒子
          • 關(guān)鍵字: FPGA  三模冗余  容錯  技術(shù)研究    

          萊迪思和HELION TECHNOLOGY發(fā)布了適用于LatticeECP3 FPGA系列的壓縮和加密IP核

          •   萊迪思半導(dǎo)體公司和Helion Technology今日宣布一系列適用于LatticeECP3 FPGA系列的壓縮和加密的IP核現(xiàn)已上市。該系列具有有效載荷壓縮系統(tǒng)核,提高了有限信道帶寬的利用率,因此非常適合微波回程應(yīng)用、寬帶無線接入適用于802.16e(WiMAX)以及潛在的其他多鏈路多輸入-多輸出(MIMO)應(yīng)用中的使用。在LatticeECP3器件中,IP核可以從500Mbps無縫擴(kuò)展至超過3Gbps,并可用于典型的網(wǎng)絡(luò)應(yīng)用中的第2層或第3層。IP核采用了非常強大和成熟的LZRW無損壓縮算法,它
          • 關(guān)鍵字: 萊迪思  FPGA  

          Altera、Apical和AltaSens聯(lián)合發(fā)布HD寬動態(tài)范圍視頻監(jiān)控芯片組

          •   延續(xù)其在高清晰(HD)寬動態(tài)范圍(WDR)監(jiān)控攝像機解決方案上的領(lǐng)先優(yōu)勢,Altera公司、Apical有限公司(UK)以及AltaSens有限公司今天宣布,開始提供業(yè)界第一款HD WDR視頻監(jiān)控芯片組。這一獨特的芯片組結(jié)合了Altera Cyclone® IV E FPGA和安全芯片,支持Apical的HD WDR全圖像信號處理(ISP)流水線IP和AltaSens的1080p60 A3372E3-4T圖像傳感器。這一獨特的解決方案能夠方便的使用Apical IP,降低了用戶在全傳感器處理解
          • 關(guān)鍵字: Altera  視頻監(jiān)控  FPGA  

          基于FPGA的DES、3DES硬件加密技術(shù)

          • 基于FPGA的DES、3DES硬件加密技術(shù),傳統(tǒng)的加密工作是通過在主機上運行加密軟件實現(xiàn)的。這種方法除占用主機資源外,運算速度較慢,安全性也較差。而硬件加密是通過專用加密芯片、FPGA芯片或獨立的處理芯片等實現(xiàn)密碼運算。相對于軟件加密,硬件加密具有
          • 關(guān)鍵字: 加密  技術(shù)  硬件  3DES  FPGA  DES  基于  

          一種密鑰可配置的DES加密算法的FPGA

          基于FPGA的ARINC429通信協(xié)議設(shè)計實現(xiàn)

          • 摘要:介紹了在FPGA上利用SoPC技術(shù)設(shè)計實現(xiàn)某機載數(shù)據(jù)傳榆設(shè)備與機載專用計算機進(jìn)行通信的ARINC429通信協(xié)議,實現(xiàn)了對ARINC429數(shù)據(jù)的一發(fā)一收。該系統(tǒng)模塊充分利用了FPGA硬件可編程性、高度集成性、實時性的特點。測
          • 關(guān)鍵字: 設(shè)計  實現(xiàn)  協(xié)議  通信  FPGA  ARINC429  基于  

          具有多個電壓軌的FPGA和DSP電源設(shè)計實例

          • 大多數(shù)電子產(chǎn)品由于包含一個或多個FPGA或DSP數(shù)字處理芯片而需要提供多個電源軌。在為這些數(shù)字IC供電時,有多種方案可以選擇,也有許多潛在的陷阱需要避免。在“具有多個電壓軌的FPGA和DSP應(yīng)用的電源設(shè)計方法rd
          • 關(guān)鍵字: 電源  設(shè)計  實例  DSP  FPGA  多個  電壓  具有  

          基于FPGA的多路圖像采集系統(tǒng)的軟件設(shè)計

          • 摘要:分析了現(xiàn)有的視頻采集方案的研究現(xiàn)狀,對如何采用CCD攝像頭采集多通道、高分辨率、高質(zhì)量的圖像以及基于FPGA的嵌入式圖像采集系統(tǒng)的實現(xiàn)方法做了研究。與傳統(tǒng)圖像采集系統(tǒng)相比,該系統(tǒng)主要利用四片視頻解碼芯片
          • 關(guān)鍵字: FPGA  多路  圖像采集系統(tǒng)  軟件設(shè)計    

          基于FPGA的空間目標(biāo)碰撞預(yù)警系統(tǒng)

          • 摘要:為了解決空間目標(biāo)與航天器發(fā)生碰撞的問題,設(shè)計了一種基于FPGA,以在軌目標(biāo)三維坐標(biāo)為待處理數(shù)據(jù)進(jìn)行快速并行處理的目標(biāo)碰撞預(yù)警系統(tǒng)。該系統(tǒng)基于Xilinx公司FPGA芯片中的內(nèi)容可尋址存儲器(Content Addressable
          • 關(guān)鍵字: FPGA  目標(biāo)碰撞  預(yù)警系統(tǒng)    
          共7012條 299/468 |‹ « 297 298 299 300 301 302 303 304 305 306 » ›|

          rf-fpga介紹

          您好,目前還沒有人創(chuàng)建詞條rf-fpga!
          歡迎您創(chuàng)建該詞條,闡述對rf-fpga的理解,并與今后在此搜索rf-fpga的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();