<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁(yè)  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問(wèn)答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁(yè) >> 主題列表 >> rf-fpga

          基于FPGA的防盜定位追蹤系統(tǒng)

          • 1 系統(tǒng)方案  GSM(Global System for Mobile Communications)為全球移動(dòng)通訊系統(tǒng),是一種起源于歐洲的移動(dòng)通信技術(shù)標(biāo)準(zhǔn),其開(kāi)發(fā)目的是讓全球各地可以共同使用一個(gè)移動(dòng)電話(huà)網(wǎng)絡(luò)標(biāo)準(zhǔn),讓用戶(hù)使用一部手機(jī)就能行遍全球
          • 關(guān)鍵字: FPGA  防盜  定位追蹤  系統(tǒng)    

          基于FPGA的提取位同步時(shí)鐘DPLL設(shè)計(jì)

          • 在數(shù)字通信系統(tǒng)中,同步技術(shù)是非常重要的,而位同步是最基本的同步。位同步時(shí)鐘信號(hào)不僅用于監(jiān)測(cè)輸入碼元信號(hào),確保收發(fā)同步,而且在獲取幀同步及對(duì)接收的數(shù)字碼元進(jìn)行各種處理的過(guò)程中也為系統(tǒng)提供了一個(gè)基準(zhǔn)
          • 關(guān)鍵字: FPGA  DPLL  位同步時(shí)鐘    

          基于FPGA的數(shù)據(jù)采集控制模塊設(shè)計(jì)

          • 0 引 言
            數(shù)據(jù)采集和控制系統(tǒng)是對(duì)生產(chǎn)過(guò)程或科學(xué)實(shí)驗(yàn)中各種物理量進(jìn)行實(shí)時(shí)采集、測(cè)試和反饋控制的閉環(huán)控制,它在工業(yè)控制、軍事電子設(shè)備、醫(yī)學(xué)監(jiān)護(hù)等許多領(lǐng)域發(fā)揮著重要作用。其中,數(shù)據(jù)采集部分尤為重要,而傳統(tǒng)
          • 關(guān)鍵字: FPGA  數(shù)據(jù)  采集控制  模塊設(shè)計(jì)    

          通過(guò)USB接口實(shí)現(xiàn)FPGA的SelectMap配置

          • 1.引言
            FPGA器件結(jié)合了 ASIC的高性能和微處理器的靈活,不僅擁有豐富的邏輯資源,而且可以進(jìn)行方便靈活的配置。主動(dòng)配置方式盡管配置速度快、實(shí)現(xiàn)簡(jiǎn)單,但并未發(fā)揮 FPGA配置靈活的特點(diǎn),適合于 FPGA用作單一應(yīng)用的場(chǎng)
          • 關(guān)鍵字: SelectMap  FPGA  USB  接口    

          一種基于FPGA的AGWN信號(hào)生成器的設(shè)計(jì)

          • 在通信系統(tǒng)中分析計(jì)算系統(tǒng)抗噪聲性能時(shí),經(jīng)常假定信道噪聲為加性高斯型白噪聲(AGWN)。本文就是通過(guò)分析AG...
          • 關(guān)鍵字: FPGA  AGWN  信號(hào)生成器  

          基于改進(jìn)型二步索引算法OSD電路的FPGA實(shí)現(xiàn)

          • 基于改進(jìn)型二步索引算法OSD電路的FPGA實(shí)現(xiàn), 0 引 言 OSD(on screen display),即在屏顯示系統(tǒng),是實(shí)現(xiàn)人機(jī)界面交互的基礎(chǔ),在視頻處理SOC中作為重要功能模塊有著廣泛的應(yīng)用。 基于SOC技術(shù)的模塊化設(shè)計(jì)要求各功能模塊盡可能小地占用電路資源,以滿(mǎn)足芯片系統(tǒng)
          • 關(guān)鍵字: 電路  FPGA  實(shí)現(xiàn)  OSD  算法  改進(jìn)型  索引  基于  FPGA  

          基于FPGA的級(jí)聯(lián)結(jié)構(gòu)FFT處理器的優(yōu)化設(shè)計(jì)

          • 0 引 言
            數(shù)字信號(hào)處理主要研究采用數(shù)字序列或符號(hào)序列表示信號(hào),并用數(shù)字計(jì)算方法對(duì)這些序列進(jìn)行處理,以便把信號(hào)變換成符合某種需要的形式。在現(xiàn)代數(shù)字信號(hào)處理中,最常用的變換方法就是離散傅里葉變換(DFT),
          • 關(guān)鍵字: FPGA  FFT  級(jí)聯(lián)  處理器    

          對(duì)基于FPGA的作戰(zhàn)系統(tǒng)時(shí)統(tǒng)的研究與設(shè)計(jì)

          • O引言作戰(zhàn)系統(tǒng)時(shí)間的統(tǒng)一同步(時(shí)統(tǒng))的重要性越來(lái)越得到重視,只有保證整個(gè)系統(tǒng)處在同一時(shí)間的基準(zhǔn)...
          • 關(guān)鍵字: FPGA  作戰(zhàn)系統(tǒng)  時(shí)統(tǒng)  

          追新逐熱還是腳踏實(shí)地?跟上技術(shù)發(fā)展的步伐

          •   我真的需要那種技術(shù)嗎?我現(xiàn)在應(yīng)該購(gòu)買(mǎi)嗎?如果我不購(gòu)買(mǎi),是不是就會(huì)處于劣勢(shì)?我會(huì)不會(huì)因?yàn)樽龀鲥e(cuò)誤的決定而出局?即便不想成為先行者,同行的壓力與技術(shù)變化的速度,也會(huì)使我們不斷面對(duì)類(lèi)似的更多問(wèn)題。最終,我們還是得想辦法回到正確的技術(shù)軌道上來(lái)。   研究、保持使用最新技術(shù)以及直覺(jué),都將有助于縮小這些決定的范圍,不過(guò)最終還是得在冒險(xiǎn)一試與放棄之間做出選擇。即使有些不情愿或者也許有點(diǎn)晚,我們?nèi)匀粨碜o(hù)變革。   在消費(fèi)類(lèi)電子產(chǎn)品前沿,事情并不總是那么順理成章的。無(wú)論行業(yè)評(píng)論員的權(quán)威意見(jiàn)是什么,也不管他們給予怎樣
          • 關(guān)鍵字: Altium  FPGA  設(shè)計(jì)工具  

          一種高精度RF信號(hào)幅相檢測(cè)電路的設(shè)計(jì)

          • 一、引言在實(shí)際的RF 電路設(shè)計(jì)中,經(jīng)常會(huì)遇到檢測(cè)兩個(gè)信號(hào)之間的幅度比(增益)和相位差的 問(wèn)題,這也是研究網(wǎng)絡(luò)相頻特性中不可缺少的重要方面。在某些特殊領(lǐng)域,尤其是在一個(gè)精 確的窄頻段內(nèi)來(lái)進(jìn)行測(cè)量的要求下,這種
          • 關(guān)鍵字: 電路  設(shè)計(jì)  檢測(cè)  信號(hào)  RF  高精度  

          32階FIR濾波器的FPGA實(shí)現(xiàn)

          • 隨著軟件無(wú)線電的發(fā)展。對(duì)于濾波器的處理速度要求越來(lái)越高。傳統(tǒng)的FIR濾波器一般采用通用DSP處理器,但是DSP處理器采用的是串行運(yùn)算,而FPGA是現(xiàn)場(chǎng)可編程陣列,可以實(shí)現(xiàn)專(zhuān)用集成電路,另外還可以采用純并行結(jié)構(gòu)
          • 關(guān)鍵字: FPGA  FIR  濾波器    

          FPGA開(kāi)發(fā)中按鍵消抖與單脈沖發(fā)生器電路

          • FPGA開(kāi)發(fā)中常用到單脈沖發(fā)生器。一些文章介紹過(guò)產(chǎn)生單脈沖的電路,產(chǎn)生的單脈沖脈寬和相位都不能與時(shí)鐘同步,只能用在要求不嚴(yán)格的場(chǎng)合。筆者目前從事的課題中需要一個(gè)與時(shí)鐘周期等寬,相位與時(shí)鐘周期相同的鍵
          • 關(guān)鍵字: FPGA  按鍵消抖  單脈沖  發(fā)生器電路    

          超越 SoC 的設(shè)計(jì)創(chuàng)新

          •   大多數(shù)軟、硬件工程師都很熟悉 FPGA,這點(diǎn)應(yīng)該勿庸置疑。這種熟悉不見(jiàn)得是實(shí)質(zhì)性的熟悉,而是從概念上比較了解,也就是說(shuō) FPGA 功能的快速發(fā)展和成本的不斷下降是大家都不容忽略的優(yōu)勢(shì)。同時(shí),他們也認(rèn)識(shí)到這種可編程器件顯然能方便地作為各種數(shù)字電路以及邏輯處理的高靈活度、低成本的載體。   基本說(shuō)來(lái),在設(shè)計(jì)方案中發(fā)揮 FPGA 的功能就是簡(jiǎn)單地映射出所需的邏輯,然后將其下載至適當(dāng)容量大小的器件中。這有些像大型處理器系統(tǒng)主體設(shè)計(jì)的輔助支持工作,而且在該層面上也確實(shí)發(fā)揮著自身的支持性作用。   近期一些應(yīng)
          • 關(guān)鍵字: SoC  FPGA  

          基于FPGA的溫度模糊自適應(yīng)PID控制器的設(shè)計(jì)

          • 針對(duì)某恒溫箱控制系統(tǒng)中存在的非線性、時(shí)變等特點(diǎn),結(jié)合傳統(tǒng)PID與現(xiàn)代模糊控制理論,以EP1C12型FP-GA為核心控制器,采用模塊化思想,設(shè)計(jì)并實(shí)現(xiàn)溫度模糊自適應(yīng)PID控制。實(shí)際運(yùn)行結(jié)果表明,采用該方法可明顯改善控制效果,在簡(jiǎn)化設(shè)計(jì)的同時(shí),也可提高系統(tǒng)的運(yùn)算速度和可靠性。
          • 關(guān)鍵字: PID  控制器  設(shè)計(jì)  適應(yīng)  模糊  FPGA  溫度  基于  
          共7012條 361/468 |‹ « 359 360 361 362 363 364 365 366 367 368 » ›|

          rf-fpga介紹

          您好,目前還沒(méi)有人創(chuàng)建詞條rf-fpga!
          歡迎您創(chuàng)建該詞條,闡述對(duì)rf-fpga的理解,并與今后在此搜索rf-fpga的朋友們分享。    創(chuàng)建詞條

          熱門(mén)主題

          樹(shù)莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢(xún)有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();