<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> rf-fpga

          如何基于FPGA的短波通信接收機(jī)?

          • 短波通信又稱高頻通信,是利用HF波段(3-30MHz)電磁波進(jìn)行的無線電通信。短波通信主要靠天波傳播,可經(jīng)電離層一次或數(shù)次反射,最遠(yuǎn)可傳至上萬里,如按氣
          • 關(guān)鍵字: FPGA  短波通信  接收機(jī)  

          十年FPGA開發(fā)經(jīng)驗(yàn)工程師教你的絕密設(shè)計技巧

          • 從大學(xué)時代第一次接觸FPGA至今已有10多年的時間,至今記得當(dāng)初第一次在EDA實(shí)驗(yàn)平臺上完成數(shù)字秒表、搶答器、密碼鎖等實(shí)驗(yàn)時那個興奮勁。當(dāng)時由于沒有接
          • 關(guān)鍵字: CPLD  電子工程師  FPGA  

          如何為基于FPGA的嵌入式系統(tǒng)進(jìn)行安全升級?

          • 如何防止器件ldquo;磚頭化rdquo;,只發(fā)出警告就夠了嗎?ldquo;系統(tǒng)正在更新,請勿關(guān)閉電源。rdquo;我們都看到過這個警告,它通常在電子器件要在閃存
          • 關(guān)鍵字: 嵌入式  FPGA  

          經(jīng)驗(yàn)總結(jié):電路設(shè)計的8個誤區(qū)

          • 關(guān)于電路設(shè)計8個誤區(qū)的經(jīng)驗(yàn)總結(jié)
          • 關(guān)鍵字: PCB  FPGA  CPU  

          怎么采用FPGA原型系統(tǒng)加速物聯(lián)網(wǎng)設(shè)計?

          • 當(dāng)我們談?wù)撐锫?lián)網(wǎng) (IoT) 的時候,不夸張地說,它可以將我們所使用的智能設(shè)備互相連接,彼此分享大量的數(shù)據(jù),從而使我們的生活更高效。這不僅僅是消費(fèi)者
          • 關(guān)鍵字: FPGA  物聯(lián)網(wǎng)  

          用C 語言描述AES256 加密算法

          • 作者:Adam Taylor 首席工程師 e2v 公司 aptaylor@theiet.org 用C 語言描述AES256 加密算法,然后在硬件中加速性能。 高級加密標(biāo)準(zhǔn) (AES) 已經(jīng)成為很多
          • 關(guān)鍵字: FPGA  ASIC  

          使用SDNet開發(fā)創(chuàng)新型可編程網(wǎng)絡(luò)

          • 日本電報電話公司 (NTT) 是一家全球電信集團(tuán)控股公司,負(fù)責(zé)制定管理策略和推動研發(fā)工作發(fā)展。 我們是 NTT 研發(fā)部的研究人員,正領(lǐng)導(dǎo)兩個針對軟件定義網(wǎng)
          • 關(guān)鍵字: FPGA  ASIC  

          引起的較高時鐘頻率仿真失敗原因

          • 通常如果你的設(shè)計在較低時鐘頻率時通過了仿真,但是在較高時鐘頻率時卻失敗了,你的第一個問題應(yīng)該是你的設(shè)計在某個較高時鐘頻率時是否達(dá)到了時序約束
          • 關(guān)鍵字: FPGA  時鐘頻率  賽靈思  

          如何設(shè)計基于FPGA的虛擬現(xiàn)實(shí)定位系統(tǒng)?

          • 虛擬現(xiàn)實(shí)技術(shù)是目前計算機(jī)信息科學(xué)中的前沿學(xué)科,文中設(shè)計了一種以FPGA 為核心的數(shù)據(jù)采集處理系統(tǒng).利用HMC5883L和ADXL345對虛擬場景中物體的方位和朝
          • 關(guān)鍵字: FPGA  虛擬現(xiàn)實(shí)  定位系統(tǒng)  

          AI芯片之戰(zhàn):TPU/GPU/FPGA誰稱雄?

          •   智能時代就要到來,芯片市場格局一變再變。兩個典型例子:引領(lǐng)處理器市場 40 多年的英特爾 2015 年底收購?fù)?Altera,今年 4 月就宣布計劃裁員 1.2 萬;另一方面,GPU 巨頭英偉達(dá)今年 3 月推出加速人工智能和深度學(xué)習(xí)的芯片 Tesla P100,投入研發(fā)經(jīng)費(fèi)超過 20 億美元,據(jù)《華爾街日報》報道,今年 5 月英偉達(dá)售出的 GPU 比去年同月增長 62%,公司當(dāng)前市值 240 億美元。  深度學(xué)習(xí)應(yīng)用大量涌現(xiàn)使超級計算機(jī)的架構(gòu)逐漸向深度學(xué)習(xí)應(yīng)用優(yōu)化,從傳統(tǒng) CPU 為主 GPU 為輔的
          • 關(guān)鍵字: AI  TPU  GPU  FPGA  

          從設(shè)置、加載、啟動看Xilinx FPGA配置流程

          •   盡管FPGA的配置模式各不相同,但整個配置過程中FPGA的工作流程是一致的,分為三個部分:設(shè)置、加載、啟動?! ?fù)位結(jié)束配置開始  有多種方式使FPGA的配置進(jìn)入這一過程。在上電時,電壓達(dá)到FPGA要求之前,F(xiàn)PGA的上電復(fù)位模塊將使FPGA保持在復(fù)位狀態(tài);外部控制PROG_B引腳出現(xiàn)一個低脈沖也可以使FPGA保持在復(fù)位狀態(tài)?! ∏宄渲么鎯?nèi)容  這一步稱為初始化,當(dāng)FPGA復(fù)位結(jié)束,配置存儲器的內(nèi)容會被自動清除。在這個步驟中,除配置專用接口外,F(xiàn)PGA I/O均被置于高阻態(tài)。在整個初始化過程中,I
          • 關(guān)鍵字: Xilinx  FPGA  

          基于FPGA解決物聯(lián)網(wǎng)實(shí)現(xiàn)的核心3大挑戰(zhàn)

          • 物聯(lián)網(wǎng)(IoT)已成為一個廣受歡迎的名詞,幾乎每一個電子設(shè)備相互連接到互聯(lián)網(wǎng)上加以使用,并且呈現(xiàn)爆炸式增長。但這種增長卻恰恰帶來了它的實(shí)戰(zhàn)挑戰(zhàn),一
          • 關(guān)鍵字: FPGA  物聯(lián)網(wǎng)  

          時序分析的一些基本概念

          • 時序分析時FPGA設(shè)計中永恒的話題,也是FPGA開發(fā)人員設(shè)計進(jìn)階的必由之路。慢慢來,先介紹時序分析中的一些基本概念。
          • 關(guān)鍵字: FPGA  時序  

          掌握三大原則,輕松分配FPGA引腳

          • 現(xiàn)在的FPGA正變得越來越復(fù)雜,向引腳分配信號的任務(wù)曾經(jīng)很簡單,現(xiàn)在也變得相當(dāng)繁復(fù)。下面這些用于向多用途引腳指配信號的指導(dǎo)方針有助于設(shè)計師根據(jù)最
          • 關(guān)鍵字: FPGA  

          Verilog的語言要素有哪些?

          • 本章介紹Verilog HDL的基本要素,包括標(biāo)識符、注釋、數(shù)值、編譯程序指令、系統(tǒng)任務(wù)和系統(tǒng)函數(shù)。另外,本章還介紹了Verilog硬件描述語言中的兩種數(shù)據(jù)類
          • 關(guān)鍵字: Verilog  FPGA  
          共7012條 37/468 |‹ « 35 36 37 38 39 40 41 42 43 44 » ›|

          rf-fpga介紹

          您好,目前還沒有人創(chuàng)建詞條rf-fpga!
          歡迎您創(chuàng)建該詞條,闡述對rf-fpga的理解,并與今后在此搜索rf-fpga的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();