<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁(yè)  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問(wèn)答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁(yè) >> 主題列表 >> veriloghdl

          Verilog HDL基礎(chǔ)之:Verilog HDL語(yǔ)言簡(jiǎn)介

          • Verilog HDL是硬件描述語(yǔ)言的一種,用于數(shù)字電子系統(tǒng)設(shè)計(jì)。它允許設(shè)計(jì)者用它來(lái)進(jìn)行各種級(jí)別的邏輯設(shè)計(jì),可以用它進(jìn)行數(shù)字邏輯系統(tǒng)的仿真驗(yàn)證、時(shí)序分析、邏輯綜合。它是目前應(yīng)用最廣泛的一種硬件描述語(yǔ)言之一。Verilog HDL是在1983年由GDA公司的Phil Moorby首創(chuàng)的。
          • 關(guān)鍵字: VerilogHDL  VHDL  Verilog-XL  華清遠(yuǎn)見(jiàn)  

          Verilog HDL基礎(chǔ)之:賦值語(yǔ)句和塊語(yǔ)句

          • 在Verilog HDL語(yǔ)言中,信號(hào)有兩種賦值方式:非阻塞賦值方式和阻塞賦值方式。塊語(yǔ)句通常用來(lái)將兩條或多條語(yǔ)句組合在一起,使其在格式上看更像一條語(yǔ)句。塊語(yǔ)句有兩種:一種是begin_end語(yǔ)句,通常用來(lái)標(biāo)識(shí)順序執(zhí)行的語(yǔ)句,用它來(lái)標(biāo)識(shí)的塊稱為順序塊;另一種是fork_join語(yǔ)句,通常用來(lái)標(biāo)識(shí)并行執(zhí)行的語(yǔ)句。
          • 關(guān)鍵字: VerilogHDL  華清遠(yuǎn)見(jiàn)  賦值語(yǔ)句  塊語(yǔ)句  阻塞賦值  

          Verilog HDL基礎(chǔ)之:數(shù)據(jù)類型和運(yùn)算符

          Verilog HDL基礎(chǔ)之:實(shí)例5 交通燈控制器

          • 本實(shí)例通過(guò)Verilog HDL語(yǔ)言設(shè)計(jì)一個(gè)簡(jiǎn)易的交通等控制器,實(shí)現(xiàn)一個(gè)具有兩個(gè)方向、共8個(gè)燈并具有時(shí)間倒計(jì)時(shí)功能的交通燈功能。
          • 關(guān)鍵字: VerilogHDL  華清遠(yuǎn)見(jiàn)  FPGA  交通燈控制器  

          Verilog HDL基礎(chǔ)之:程序基本結(jié)構(gòu)

          • Verilog HDL是一種用于數(shù)字邏輯電路設(shè)計(jì)的語(yǔ)言。用Verilog HDL描述的電路設(shè)計(jì)就是該電路的Verilog HDL模型。Verilog HDL既是一種行為描述的語(yǔ)言,也是一種結(jié)構(gòu)描述的語(yǔ)言。也就是說(shuō),既可以用電路的功能描述,也可以用元器件和它們之間的連接來(lái)建立所設(shè)計(jì)電路的Verilog HDL模型。
          • 關(guān)鍵字: VerilogHDL  數(shù)字邏輯電路  華清遠(yuǎn)見(jiàn)  

          Verilog HDL基礎(chǔ)之:實(shí)例4 PS/2接口控制

          • 本實(shí)例通過(guò)Verilog編程實(shí)現(xiàn)在紅色颶風(fēng)II代Xilinx開(kāi)發(fā)板上面實(shí)現(xiàn)對(duì)鍵盤、LCD、RS-232等接口或者器件進(jìn)行控制,將有鍵盤輸入的數(shù)據(jù)在LCD上面顯示出來(lái),或者通過(guò)RS-232在PC機(jī)上的超級(jí)終端上顯示出來(lái)。
          • 關(guān)鍵字: VerilogHDL  華清遠(yuǎn)見(jiàn)  

          Verilog HDL基礎(chǔ)之:程序設(shè)計(jì)經(jīng)驗(yàn)(獨(dú)家)

          • 對(duì)于Verilog HDL的初學(xué)者,經(jīng)常會(huì)對(duì)語(yǔ)法中的幾個(gè)容易混淆的地方產(chǎn)生困惑。本文列出幾個(gè)常見(jiàn)問(wèn)題和解決它們的小竅門。
          • 關(guān)鍵字: VerilogHDL  華清遠(yuǎn)見(jiàn)  

          Verilog HDL基礎(chǔ)之:與C語(yǔ)言的區(qū)別與聯(lián)系(獨(dú)家)

          • Verilog HDL基礎(chǔ)之:與C語(yǔ)言的區(qū)別與聯(lián)系。C語(yǔ)言很靈活,查錯(cuò)功能強(qiáng),還可以通過(guò)PLI(編程語(yǔ)言接口)編寫自己的系統(tǒng)任務(wù)直接與硬件仿真器(如Verilog-XL)結(jié)合使用。C語(yǔ)言是目前世界上應(yīng)用最為廣泛的一種編程語(yǔ)言,因而C程序的設(shè)計(jì)環(huán)境比Verilog HDL更完整。
          • 關(guān)鍵字: VerilogHDL  華清遠(yuǎn)見(jiàn)  C語(yǔ)言  

          Verilog HDL基礎(chǔ)之:組合邏輯電路的實(shí)現(xiàn)(原創(chuàng))

          • Verilog HDL基礎(chǔ)之:組合邏輯電路的實(shí)現(xiàn)。數(shù)字邏輯電路分為兩種,分別是組合邏輯與時(shí)序邏輯。組合邏輯:輸出只是當(dāng)前輸入邏輯電平的函數(shù)(有延時(shí)),與電路的原始狀態(tài)無(wú)關(guān)的邏輯電路。時(shí)序邏輯:輸出不只是當(dāng)前輸入的邏輯電平的函數(shù),還與電路目前所處的狀態(tài)有關(guān)。
          • 關(guān)鍵字: VerilogHDL  華清遠(yuǎn)見(jiàn)  數(shù)字邏輯電路  

          Verilog HDL基礎(chǔ)之:條件語(yǔ)句

          • Verilog HDL基礎(chǔ)之if語(yǔ)句是用來(lái)判定所給定的條件是否滿足,根據(jù)判定的結(jié)果(真或假)決定執(zhí)行給出的兩種操作之一。Verilog HDL語(yǔ)言提供了3種形式的if語(yǔ)句。case語(yǔ)句是一種多分支選擇語(yǔ)句,if語(yǔ)句只有兩個(gè)分支可供選擇,而實(shí)際問(wèn)題中常常需要用到多分支選擇。Verilog語(yǔ)言提供的case語(yǔ)句直接處理多分支選擇。
          • 關(guān)鍵字: VerilogHDL  華清遠(yuǎn)見(jiàn)  

          Verilog HDL基礎(chǔ)之:其他常用語(yǔ)句

          • Verilog HDL常用的其他語(yǔ)句有:循環(huán)語(yǔ)句、結(jié)構(gòu)說(shuō)明語(yǔ)句等。
          • 關(guān)鍵字: VerilogHDL  華清遠(yuǎn)見(jiàn)  

          基于Verilog狀態(tài)機(jī)的PLC背板總線協(xié)議接口芯片設(shè)計(jì)

          • 設(shè)計(jì)了一組基于CPLD的PLC背板總線協(xié)議接口芯片,協(xié)議芯片可以區(qū)分PLC的背板總線的周期性數(shù)據(jù)和非周期性數(shù)據(jù)。詳細(xì)介紹了通過(guò)Verilog HDL語(yǔ)言設(shè)計(jì)狀態(tài)機(jī)、協(xié)議幀控制器、FIFO控制器的過(guò)程,25MHz下背板總線工作穩(wěn)定的試驗(yàn)結(jié)果驗(yàn)證了協(xié)議芯片設(shè)計(jì)的可行性。
          • 關(guān)鍵字: VerilogHDL  PLC背板  CPLD  

          32位定浮點(diǎn)數(shù)正余弦函數(shù)FPGA實(shí)現(xiàn)方法

          • 本文首先介紹了查表算法和Cordic算法原理,在這兩種算法基礎(chǔ)上,用Verilog HDL語(yǔ)言對(duì)32位定點(diǎn)數(shù)的正余弦函數(shù)進(jìn)行了編程設(shè)計(jì),結(jié)合仿真綜合結(jié)果,對(duì)這兩種方法從運(yùn)算精度,運(yùn)算速度和占用硬件資源幾方面進(jìn)行了分析.進(jìn)而采用不經(jīng)過(guò)浮點(diǎn)定點(diǎn)轉(zhuǎn)換,直接在Cordic算法改進(jìn)的基礎(chǔ)上實(shí)現(xiàn)32位浮點(diǎn)數(shù)的正余弦函數(shù)FPGA設(shè)計(jì).最后,對(duì)這三種實(shí)現(xiàn)方法進(jìn)行了綜合評(píng)價(jià).
          • 關(guān)鍵字: Cordic算法  VerilogHDL  正余弦函數(shù)  

          基于Nios II的MIII總線轉(zhuǎn)換板設(shè)計(jì)

          • 本文介紹的MIII總線轉(zhuǎn)換板的主要功能是將機(jī)載火控設(shè)備的MIII總線數(shù)據(jù)轉(zhuǎn)換成串口數(shù)據(jù),以方便實(shí)現(xiàn)與PC機(jī)的通信,這樣,PC機(jī)就可讀取機(jī)載設(shè)備數(shù)據(jù)或發(fā)送指令以操作總線設(shè)備。
          • 關(guān)鍵字: MIII總線  VerilogHDL  NiosII  

          3-DES IP核的VerilogHDL設(shè)計(jì)

          • 首先介紹了3-DES算法的加密/解密原理,在此基礎(chǔ)上,采用流水線技術(shù),設(shè)計(jì)了一種高速的3-DES加/解密IP核,并用VerilogHDL語(yǔ)言描述其中的各個(gè)模塊。
          • 關(guān)鍵字: IP核  流水線技術(shù)  VerilogHDL  DES加/解密  
          共40條 2/3 « 1 2 3 »
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();