<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁 >> 主題列表 >> xilinx fpga

          零基礎(chǔ)學(xué)FPGA(十一)一步一腳印之基于FIFO的串口發(fā)送機(jī)設(shè)計(jì)全流程及常見錯(cuò)誤詳解

          •   記得在上幾篇博客中,有幾名網(wǎng)友提出要加進(jìn)去錯(cuò)誤分析這一部分,那我們就從今天這篇文章開始加進(jìn)去我在消化這段代碼的過程中遇到的迷惑,與大家分享。   今天要寫的是一段基于FIFO的串口發(fā)送機(jī)設(shè)計(jì),之前也寫過串口發(fā)送的電路,這次寫的與上次的有幾分類似。這段代碼也是我看過別人寫過的之后,消化一下再根據(jù)自己的理解寫出來的,下面是我寫這段代碼的全部流程和思路,希望對(duì)剛開始接觸的朋友來說有一點(diǎn)點(diǎn)的幫助,也希望有經(jīng)驗(yàn)的朋友給予寶貴的建議。   首先來解釋一下FIFO的含義,F(xiàn)IFO就是First Input Fi
          • 關(guān)鍵字: FPGA  FIFO  

          美高森美發(fā)布領(lǐng)先的FPGA新產(chǎn)品概覽

          •   1. 超安全SmartFusion2? SoC FPGA和 IGLOO2? FPGA   美高森美的超安全SmartFusion2? SoC FPGA和 IGLOO2? FPGA器件,無論在器件、設(shè)計(jì)和系統(tǒng)層次上的安全特性都比其他領(lǐng)先FPGA制造商更先進(jìn)。新的數(shù)據(jù)安全特性現(xiàn)已成為美高森美主流SmartFusion2 SoC FPGA和 IGLOO2 FPGA器件的一部分,可讓開發(fā)人員充分利用器件本身所具有的同級(jí)別器件中的最低功耗,高可靠性和最佳安全技術(shù),以期構(gòu)建高度差
          • 關(guān)鍵字: 美高森美  SmartFusion2  FPGA  

          FPGA時(shí)序約束的6種方法

          •   對(duì)自己的設(shè)計(jì)的實(shí)現(xiàn)方式越了解,對(duì)自己的設(shè)計(jì)的時(shí)序要求越了解,對(duì)目標(biāo)器件的資源分布和結(jié)構(gòu)越了解,對(duì)EDA工具執(zhí)行約束的效果越了解,那么對(duì)設(shè)計(jì)的時(shí)序約束目標(biāo)就會(huì)越清晰,相應(yīng)地,設(shè)計(jì)的時(shí)序收斂過程就會(huì)更可控。   下文總結(jié)了幾種進(jìn)行時(shí)序約束的方法。按照從易到難的順序排列如下:   0.核心頻率約束   這是最基本的,所以標(biāo)號(hào)為0.   1.核心頻率約束+時(shí)序例外約束   時(shí)序例外約束包括FalsePath、MulticyclePath、MaxDelay、MinDelay.但這還不是最完整的時(shí)序約束
          • 關(guān)鍵字: FPGA  時(shí)序約束  

          從硬件角度討論FPGA開發(fā)框架

          •   FPGA采用了邏輯單元陣列概念,內(nèi)部包括可配置邏輯模塊、輸出輸入模塊和內(nèi)部連線三個(gè)部分。每一塊FPGA芯片都是由有限多個(gè)帶有可編程連接的預(yù)定義源組成來實(shí)現(xiàn)一種可重構(gòu)數(shù)字電路。   長(zhǎng)久以來新型FPGA的功能和性能已經(jīng)為它們贏得系統(tǒng)中的核心位置,成為許多產(chǎn)品的主要數(shù)據(jù)處理引擎。   鑒于FPGA在如此多應(yīng)用中的重要地位,采取正式且注重方法的開發(fā)流程來處理FPGA設(shè)計(jì)比以往更加重要。該流程旨在避免開發(fā)周期后期因發(fā)現(xiàn)設(shè)計(jì)缺陷而不得不進(jìn)行費(fèi)時(shí)費(fèi)錢的設(shè)計(jì)修改,而且該缺陷還可能對(duì)項(xiàng)目進(jìn)度計(jì)劃、成本和質(zhì)量造成災(zāi)
          • 關(guān)鍵字: FPGA  

          【從零開始走進(jìn)FPGA】美好開始——我流啊流啊流

          •   按照基于Windows的語言(C、C++、C#)等編程語言的初學(xué)入門教程,第一個(gè)歷程應(yīng)該是“Hello World!”的例程。但由于硬件上的驅(qū)動(dòng)難易程度,此例程將在在后續(xù)章程中推出。硬件工程師學(xué)習(xí)開發(fā)板的第一個(gè)例程:流水燈,一切美好的開始。   本章將會(huì)在設(shè)計(jì)代碼的同時(shí),講解Quartus II 軟件的使用,后續(xù)章節(jié)中只講軟件的思想,以及解決方案,不再做過多的累贅描述。   一、Step By Step 建立第一個(gè)工程   (1)建立第一個(gè)工程,F(xiàn)ile-New-New
          • 關(guān)鍵字: FPGA  Quartus II  

          基于FPGA的跨時(shí)鐘域信號(hào)處理——MCU

          •   說到異步時(shí)鐘域的信號(hào)處理,想必是一個(gè)FPGA設(shè)計(jì)中很關(guān)鍵的技術(shù),也是令很多工程師對(duì)FPGA望而卻步的原因。但是異步信號(hào)的處理真的有那么神秘嗎?那么就讓特權(quán)同學(xué)和你一起慢慢解開這些所謂的難點(diǎn)問題,不過請(qǐng)注意,今后的這些關(guān)于異步信號(hào)處理的文章里將會(huì)重點(diǎn)從工程實(shí)踐的角度出發(fā),以一些特權(quán)同學(xué)遇到過的典型案例的設(shè)計(jì)為依托,從代碼的角度來剖析一些特權(quán)同學(xué)認(rèn)為經(jīng)典的跨時(shí)鐘域信號(hào)處理的方式。這些文章都是即興而寫,可能不會(huì)做太多的分類或者歸納,也有一些特例,希望網(wǎng)友自己把握。   另外,關(guān)于異步時(shí)鐘域的話題,推薦大家
          • 關(guān)鍵字: FPGA  MCU  

          跨越鴻溝:同步世界中的異步信號(hào)

          •   只有最初級(jí)的邏輯電路才使用單一的時(shí)鐘。大多數(shù)與數(shù)據(jù)傳輸相關(guān)的應(yīng)用都有與生俱來的挑戰(zhàn),即跨越多個(gè)時(shí)鐘域的數(shù)據(jù)移動(dòng),例如磁盤控制器、CDROM/DVD 控制器、調(diào)制解調(diào)器、網(wǎng)卡以及網(wǎng)絡(luò)處理器等。當(dāng)信號(hào)從一個(gè)時(shí)鐘域傳送到另一個(gè)時(shí)鐘域時(shí),出現(xiàn)在新時(shí)鐘域的信號(hào)是異步信號(hào)。   在現(xiàn)代 IC、ASIC 以及 FPGA 設(shè)計(jì)中,許多軟件程序可以幫助工程師建立幾百萬門的電路,但這些程序都無法解決信號(hào)同步問題。設(shè)計(jì)者需要了解可靠的設(shè)計(jì)技巧,以減少電路在跨時(shí)鐘域通信時(shí)的故障風(fēng)險(xiǎn)。   基礎(chǔ)   從事多時(shí)鐘設(shè)計(jì)的第一
          • 關(guān)鍵字: FPGA   異步信號(hào)  FIFO   

          零基礎(chǔ)學(xué)FPGA(十)初入江湖之i2c通信

          •   相信學(xué)過單片機(jī)的同學(xué)對(duì)I2C總線都不陌生吧,今天我們來學(xué)習(xí)怎么用verilog語言來實(shí)現(xiàn)它,并在FPGA學(xué)習(xí)版上顯示。   i2c總線在近年來微電子通信控制領(lǐng)域廣泛采用的一種新型的總線標(biāo)準(zhǔn),他是同步通信的一種特殊方式,具有接口少,控制簡(jiǎn)單,器件封裝形式小,通信速率高等優(yōu)點(diǎn)。在主從通信中,可以有多個(gè)i2c總線器件同時(shí)接到i2c總線上,所有與i2c兼容的器件都有標(biāo)準(zhǔn)的接口,通過地址來識(shí)別通信對(duì)象,使他們可以經(jīng)由i2c總線互相直接通信。   i2c總線由兩條線控制,一條時(shí)鐘線SCL,一條數(shù)據(jù)線SDA,這
          • 關(guān)鍵字: FPGA  i2c  verilog  

          Xilinx宣布400萬邏輯單元元件出貨

          •   美商賽靈思(Xilinx)宣布400萬邏輯單元元件出貨,可提供等同于5,000萬以上ASIC邏輯閘,元件容量更比競(jìng)爭(zhēng)產(chǎn)品高出4倍。首批出貨的Virtex UltraScale VU440 FPGA是新一代ASIC及復(fù)雜的SOC原型設(shè)計(jì)與模擬仿真的好選擇。除了具備等同于5,000萬的ASIC邏輯閘及高I/O腳數(shù),Virtex UltraScale VU440 FPGA更運(yùn)用了UltraScale架構(gòu)的類ASIC時(shí)脈、新一代布線技術(shù)及各種邏輯模塊強(qiáng)化功能,提供元件使用率,適用于ASIC原型設(shè)計(jì)和大型模擬仿
          • 關(guān)鍵字: Xilinx  ARM  VU440  

          智能醫(yī)療成風(fēng)口 IC設(shè)計(jì)企業(yè)如何站位?

          •   市場(chǎng)研究機(jī)構(gòu)ICInsights最新報(bào)告稱,中國(guó)IC設(shè)計(jì)企業(yè)在2014年全球前五十無晶圓廠IC供應(yīng)商排行榜上占據(jù)9個(gè)席位。這9家廠商包括海思、展訊、大唐微、南瑞智芯、華大、中興、瑞芯微、銳迪科、全志。而2009年只有1家企業(yè)入圍,這表明中國(guó)無晶圓廠IC產(chǎn)業(yè)確實(shí)成長(zhǎng)顯著。   然而,上述9家入圍企業(yè)中,有5家都聚焦于目前最熱門的智能手機(jī)市場(chǎng)。當(dāng)然,這些年智能手機(jī)終端產(chǎn)業(yè)確實(shí)增長(zhǎng)迅速,也為中國(guó)IC設(shè)計(jì)提供了發(fā)展空間和機(jī)遇。但我國(guó)擁有的是全球最大的信息消費(fèi)市場(chǎng),每年進(jìn)口集成電路產(chǎn)品超過2000億美元,對(duì)I
          • 關(guān)鍵字: 海思  展訊  FPGA  

          FPGA時(shí)序約束的6種方法

          •   對(duì)自己的設(shè)計(jì)的實(shí)現(xiàn)方式越了解,對(duì)自己的設(shè)計(jì)的時(shí)序要求越了解,對(duì)目標(biāo)器件的資源分布和結(jié)構(gòu)越了解,對(duì)EDA工具執(zhí)行約束的效果越了解,那么對(duì)設(shè)計(jì)的時(shí)序約束目標(biāo)就會(huì)越清晰,相應(yīng)地,設(shè)計(jì)的時(shí)序收斂過程就會(huì)更可控。   下文總結(jié)了幾種進(jìn)行時(shí)序約束的方法。按照從易到難的順序排列如下:   0.核心頻率約束   這是最基本的,所以標(biāo)號(hào)為0.   1.核心頻率約束+時(shí)序例外約束   時(shí)序例外約束包括FalsePath、MulticyclePath、MaxDelay、MinDelay.但這還不是最完整的時(shí)序約束
          • 關(guān)鍵字: FPGA  時(shí)序約束  

          零基礎(chǔ)學(xué)FPGA(九)牛刀小試——串行口通信電路設(shè)計(jì)

          •   以前在學(xué)單片機(jī)的時(shí)候,覺得串口通信其實(shí)很簡(jiǎn)單,只要一個(gè)指令數(shù)據(jù)就能輕易的接收或者發(fā)送。前幾天試著用FPGA實(shí)現(xiàn),發(fā)現(xiàn)里面的學(xué)問還不少,并沒有想象的那么簡(jiǎn)單。當(dāng)然代碼肯定是參考別人的,不過我還是認(rèn)真研究了整段代碼的,下面的程序就是我在看懂了別人代碼后自己敲的,花了也不少時(shí)間,理解的也差不多,下面我就在這里給那些和我一樣的初學(xué)者介紹一下吧,解釋的不對(duì)的地方還望各位大神指正,大家好一起學(xué)習(xí)~   1、頂層模塊   寫程序都一樣,不能多有的程序都寫在一個(gè)模塊里,那樣看起來很麻煩,出了錯(cuò)誤也不好維護(hù),對(duì)于一
          • 關(guān)鍵字: FPGA  狀態(tài)機(jī)  

          【從零開始走進(jìn)FPGA】路在何方——Verilog快速入門

          •   一、關(guān)于HDL   1. HDL簡(jiǎn)介   HDL : Hardware Discription Language 硬件描述語言,即描述FPGA/CPLD內(nèi)部邏輯門的工作狀態(tài),來實(shí)現(xiàn)一定電路。   隨著EDA技術(shù)的發(fā)展,使用硬件語言設(shè)計(jì)PLD/FPGA成為一種趨勢(shì)。目前硬件描述語言有VHDL、Verilog、Superlog、System C、Cynlib C++、C Level等。 各種語言有各種優(yōu)勢(shì),根據(jù)業(yè)界應(yīng)用而定。   2. VHDL和Verilog區(qū)別   在業(yè)界,VHDL和Veri
          • 關(guān)鍵字: FPGA  Verilog  

          不同的verilog代碼風(fēng)格看RTL視圖之一

          •   剛開始玩CPLD/FPGA開發(fā)板的時(shí)候使用的一塊基于EPM240T100的板子,alter的這塊芯片雖說功耗小體積小,但是資源還是很小的,你寫點(diǎn)稍微復(fù)雜的程序,如果不注意coding style,很容易就溢出了。當(dāng)時(shí)做一個(gè)三位數(shù)的解碼基本就讓我苦死了,對(duì)coding style的重要性也算是有一個(gè)比較深刻的認(rèn)識(shí)了。   后來因?yàn)橐恢痹谕鎥ilinx的spartan3 xc3s400,這塊芯片資源相當(dāng)豐富,甚至于我在它里面緩存了一幀640*480*3/8BYTE的數(shù)據(jù)都沒有問題(VGA顯示用)。而最近
          • 關(guān)鍵字: FPGA  verilog  RTL  

          解讀verilog代碼的一點(diǎn)經(jīng)驗(yàn)

          •   學(xué)習(xí)FPGA其實(shí)也不算久,開始的時(shí)候參考別人的代碼并不多,大多是自己寫的,那時(shí)候做時(shí)序邏輯多一些。參加了中嵌的培訓(xùn)班,一個(gè)多月的時(shí)間在熟悉ISE軟件的使用以及verilog語法方面下了苦功,也參考了不少書,算是為自己打下了比較好的基礎(chǔ)。因?yàn)槟菚r(shí)候培訓(xùn)的方向是軟件無線電方面的,所以做了很多有關(guān)的模塊程序,之前的日志里也發(fā)表了很多,關(guān)鍵是一個(gè)興趣,感覺仿真后看到自己的一個(gè)個(gè)算法思想得到實(shí)現(xiàn)真有成就感。后來停了一段時(shí)間,因?yàn)閷?shí)在沒有比較有意思的活干了。   直到前段時(shí)間開始使用SP306的開發(fā)板,然后會(huì)參
          • 關(guān)鍵字: FPGA  verilog  
          共6755條 140/451 |‹ « 138 139 140 141 142 143 144 145 146 147 » ›|

          xilinx fpga介紹

            Xilinx FPGA   Xilinx FPGA主要分為兩大類,一種側(cè)重低成本應(yīng)用,容量中等,性能可以滿足一般的邏輯設(shè)計(jì)要求,如Spartan系列;還有一種側(cè)重于高性能應(yīng)用,容量大,性能能滿足各類高端應(yīng)用,如Virtex系列,用戶可以根據(jù)自己實(shí)際應(yīng)用要求進(jìn)行選擇。 在性能可以滿足的情況下,優(yōu)先選擇低成本器件。   Xilinx FPGA可編程邏輯解決方案縮短了電子設(shè)備制造商開發(fā)產(chǎn)品的時(shí)間 [ 查看詳細(xì) ]

          熱門主題

          樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();