<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> xilinx fpga

          設(shè)計心得:用FPGA打造最強網(wǎng)絡(luò)防火墻系統(tǒng),小心避過這些坑

          • 基于FPGA平臺,設(shè)計一個具有防火墻功能的系統(tǒng),具備對進出網(wǎng)絡(luò)數(shù)據(jù)包解析、過濾等功能。
          • 關(guān)鍵字: 防火墻  PowerPC  FPGA  嵌入式系統(tǒng)  

          基于Virtex-6 FPGA的三種串行通信協(xié)議測試及對比

          • 本文基于Virtex-6 FPGA芯片,對Aurora 8B/10B,PCIe2.0,SRIO 2.0三種串行通信協(xié)議進行了速率測試,并通過分析協(xié)議開銷和協(xié)議的流控制機制,計算了三種協(xié)議的理論傳輸速率和協(xié)議實際通信效率。結(jié)合測試結(jié)果和三種協(xié)議的具體內(nèi)容,對三種協(xié)議的相關(guān)參數(shù)和應(yīng)用領(lǐng)域進行了對比分析。
          • 關(guān)鍵字: SerialRapidIO2.0  串行通信  協(xié)議分層  FPGA  

          FPGA市場未來成長潛力有多大?

          •   2014年FPGA市場規(guī)模為52.7億美元,據(jù)Green Mountain Outlook報導(dǎo),研調(diào)機構(gòu)Global Market Insights的最新報告顯示,F(xiàn)PGA市場在2015~2022年間將出現(xiàn)8.4%的年復(fù)合成長率,屆時規(guī)??赏^99.8億美元。   成長動能主要來自資料處理、汽車、工業(yè)和消費電子等不同終端使用產(chǎn)業(yè)增加的需求,其中又以智能型手機對市場的影響最大。此外,內(nèi)建RAM的FPGA可增加執(zhí)行訊號處理、影像增強等數(shù)碼訊號處理(DSP)功能的效率,而平面顯示器對于處理、顯示面板應(yīng)用
          • 關(guān)鍵字: FPGA  

          醫(yī)療成像算法的可擴展平臺及趨勢

          • 本文探討了醫(yī)療成像算法的當(dāng)前趨勢、成像模式的融合和實現(xiàn)這些算法的可擴展平臺?,F(xiàn)場可編程門陣列為可擴展CPU平臺提供數(shù)據(jù)采集和協(xié)處理支持,使得更復(fù)雜的成像成為可能。
          • 關(guān)鍵字: 醫(yī)療成像  MRI  FPGA  

          多通道微量注射泵的設(shè)計實現(xiàn)方案

          • 微量注射泵是臨床醫(yī)療和生命科學(xué)研究中經(jīng)常使用的一種長時間進行微量注射的儀器,這種儀器主要應(yīng)用于動靜脈輸液,輸血和精密化學(xué)實驗。現(xiàn)今國內(nèi)外微量注射泵的主要問題是精度不高。
          • 關(guān)鍵字: 微量注射泵  RS232  FPGA  

          基于可編程片上系統(tǒng)的智能電子血壓計設(shè)計方案

          • 對以往電子血壓計的不足,介紹了一種基于可編程片上系統(tǒng)(SOPC)的智能電子血壓計的設(shè)計,血壓測量的方法采用基于充氣過程的示波法。該系統(tǒng)采用Cyclone II系列低成本FPGA.
          • 關(guān)鍵字: SOPC  電子血壓計  FPGA  

          人體生物電阻抗的脈沖式檢測方法

          • 在人體成分的研究中,測量人體生物電阻抗值可以得到水分、脂肪等與人體健康狀況有關(guān)的信息,對人身體狀況的監(jiān)視、疾病的早期診斷有著重要的意義。人體組織的電阻抗特性比一般物體要復(fù)雜得多.
          • 關(guān)鍵字: 生物電阻抗  脈沖式檢測  FPGA  

          醫(yī)療影像的算法開發(fā)簡介

          • 醫(yī)療影像技術(shù)在醫(yī)療保健行業(yè)扮演了越來越重要的角色。這一行業(yè)的發(fā)展趨勢是通過非置入手段來實現(xiàn)早期疾病預(yù)測和治療,降低病人開支。多種診斷影像方法的融合以及算法開發(fā)的進步是設(shè)計新設(shè)備來滿足病人需求.
          • 關(guān)鍵字: 醫(yī)療影像  影像算法  FPGA  

          基于FPGA的除顫器設(shè)計方案

          • 越來越多的人們認(rèn)識到當(dāng)心臟病患者的心臟驟停時,快速及時的救治能夠帶來很大的好處。這促使更多公共場所和辦公室配備有AED設(shè)備。而且在美國,因為各州政府強制規(guī)定,如果場地所有者沒有配備足夠的AED設(shè)備。
          • 關(guān)鍵字: 除顫器  AED  FPGA  

          醫(yī)療影像的算法研究

          • 醫(yī)療影像技術(shù)在醫(yī)療保健行業(yè)扮演了越來越重要的角色。這一行業(yè)的發(fā)展趨勢是通過非置入手段來實現(xiàn)早期疾病預(yù)測和治療,降低病人開支。多種診斷影像方法的融合以及算法開發(fā)的進步是設(shè)計新設(shè)備來滿足病人需求.
          • 關(guān)鍵字: 醫(yī)療影像  算法研究  FPGA  

          基于FPGA腦機接口設(shè)計

          • 腦機接口BCI(Brain Computer Interface)是一種新穎的人機接口方式。它的定義是:不依賴于腦的正常輸出通路(外周神經(jīng)系統(tǒng)及肌肉組織)的腦-機(計算機或其他裝置)通訊系統(tǒng)。要實現(xiàn)腦機接口,必須有一種能反映人腦不同狀態(tài)的信號,并且能夠?qū)崟r或短時對這種信號進行提取和分類。
          • 關(guān)鍵字: 腦機  接口設(shè)計  FPGA  

          張輝:基于FPGA實現(xiàn)低成本、小體積PET系統(tǒng)

          • 4月26日,中國國際醫(yī)療電子技術(shù)大會(CMET2011)第三站在北京國家會議中心隆重召開。清華大學(xué)醫(yī)學(xué)院生物醫(yī)學(xué)工程系張輝博士以“FPGA在醫(yī)學(xué)成像設(shè)備中的應(yīng)用”為題發(fā)表了精彩的演講,以下為演講摘錄。
          • 關(guān)鍵字: DSP  PET  FPGA  醫(yī)療成像  

          輔助駕駛:基于圖像傳感器的汽車全景環(huán)視系統(tǒng)

          • 我們具有自主知識產(chǎn)權(quán)的車載全景視覺技術(shù)是將分布安裝于車輛周邊的圖像傳感器捕捉的圖像進行合成和投影,在人機界面虛擬一個單一的全景圖像,并且這個圖像時無盲區(qū)的,能夠360°的觀察車身周邊的狀況。同時,這個圖像經(jīng)過合成和投影,形成符合人的思維習(xí)慣的全景圖像。
          • 關(guān)鍵字: 全景視覺傳感器  汽車環(huán)視系統(tǒng)  計算機視覺  FPGA  圖像處理  

          基于腦電的駕駛疲勞檢測系統(tǒng)設(shè)計與實現(xiàn),包括原理圖、電路圖等

          • 基于腦電的疲勞駕駛檢測系統(tǒng)的設(shè)計思想為:首先要通過腦電采集電路采集腦電信號,再對其進行小波去噪處理,去掉腦電偽跡和高頻噪聲,最后通過處理分析腦電信號,從而給出駕駛?cè)藛T的疲勞程度。
          • 關(guān)鍵字: 駕駛疲勞檢測系統(tǒng)  腦電  FPGA  SPI接口控制器  小波去噪  

          汽車離道報警系統(tǒng)設(shè)計

          • 本設(shè)計包括以FPGA為開發(fā)平臺的信號處理運算和控制的系統(tǒng)核心,以攝像頭為核心的圖像采集系統(tǒng),能檢測底盤是否變形的位置檢測系統(tǒng),能提示用戶的聲光報警系統(tǒng),以及由電源電路、電源檢測保護電路、信號處理預(yù)處理電路組成的輔助系統(tǒng)。
          • 關(guān)鍵字: 汽車離道報警系統(tǒng)  Nexsy3  FPGA  圖像采集  位置檢測  
          共6755條 61/451 |‹ « 59 60 61 62 63 64 65 66 67 68 » ›|

          xilinx fpga介紹

            Xilinx FPGA   Xilinx FPGA主要分為兩大類,一種側(cè)重低成本應(yīng)用,容量中等,性能可以滿足一般的邏輯設(shè)計要求,如Spartan系列;還有一種側(cè)重于高性能應(yīng)用,容量大,性能能滿足各類高端應(yīng)用,如Virtex系列,用戶可以根據(jù)自己實際應(yīng)用要求進行選擇。 在性能可以滿足的情況下,優(yōu)先選擇低成本器件。   Xilinx FPGA可編程邏輯解決方案縮短了電子設(shè)備制造商開發(fā)產(chǎn)品的時間 [ 查看詳細 ]

          熱門主題

          樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();