<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> xilinx-spartan

          為什么嵌入式開發(fā)人員要使用FPGA?

          •   在一個領(lǐng)域中,如果唯一不變的是變化,那么不需要對電子技術(shù)和設(shè)計方法的發(fā)展變化做多少回顧,就能見證到變化是如何使設(shè)計工程師能夠創(chuàng)建出下一代創(chuàng)新產(chǎn)品。微處理器得到大規(guī)模應(yīng)用后,價廉物美的新技術(shù)為基于軟件的革新性電子產(chǎn)品設(shè)計打開了大門,這就是一個很好的例子。簡言之,把設(shè)計的主要元素——在這兒是控制“智能”——轉(zhuǎn)入到軟領(lǐng)域后,設(shè)計工程師就可以在更短時間內(nèi)創(chuàng)建出更好、更智能、更廉價的產(chǎn)品。   這個變化意味著嵌入式軟件開發(fā)人員是當(dāng)今定義電
          • 關(guān)鍵字: Xilinx  嵌入式  FPGA  

          FPGA如何改變改變嵌入設(shè)計格局?

          •   由于經(jīng)濟下滑損及開發(fā)預(yù)算減少,嵌入系統(tǒng)設(shè)計者正在轉(zhuǎn)向FPGA(現(xiàn)場可編程門陣列)技術(shù),以縮減開發(fā)周期、對抗設(shè)備老化以及簡化產(chǎn)品升級。通過采用數(shù)量龐大且不斷增加的FPGA開發(fā)工具、可重用邏輯單元以及市售商用模塊,設(shè)計者可以構(gòu)思出高性能嵌入系統(tǒng),并且能夠根據(jù)需求變化作重新配置,從而盡量減少對工程和制造的影響。過去,電路板設(shè)計者使用這些器件作系統(tǒng)元件之間的互連,但最新的高密度產(chǎn)品也可以替代一個典型嵌入項目中的處理器、內(nèi)存、定制邏輯及很多外設(shè)。盡管它有能力改變嵌入架構(gòu),設(shè)計者仍應(yīng)分析性能、功率和成本局限,以確
          • 關(guān)鍵字: Xilinx  FPGA  

          FAE講堂:如何加快處理器的正弦計算

          •   有很多種算法可對單精度浮點數(shù)字的正弦值進行計算,但添加硬件加速器是功能最為強大的方法之一。之所以得出這一結(jié)論,是因為客戶的應(yīng)用要求使用此類正弦計算,而我們又針對能夠提供良好、快速且高效的解決方案進行了多種方案的探索。   為了確定哪種實現(xiàn)方式最適合您的應(yīng)用,首先需要對代碼進行分析,以查找哪種功能需要改進;其次,由于修改軟件比修改硬件更簡便、迅速,因而請檢查是否能通過修改軟件來實現(xiàn)您所需的高速度(有時可以)。但是如果您還需要更高的性能,那么請考慮在硬件中實現(xiàn)部分算法。在硬件加速的支持下,您可以輕松勝過
          • 關(guān)鍵字: Xilinx  處理器  FAE  

          FAE講堂:利用賽靈思FGPA實現(xiàn)降采樣FIR濾波器

          •   過去半年有幾位客戶請我?guī)椭麄冊O(shè)計和實現(xiàn)數(shù)字下變頻器所用的降采樣(即“抽取”)濾波器,這種濾波器在軟件無線電與數(shù)據(jù)采集類應(yīng)用中都很常見。這項工作即便對于經(jīng)驗豐富的設(shè)計師也不是一件小事。事實上,僅僅算出在FGPA中實現(xiàn)濾波器所需要的資源可能就是一個很大的問題。雖然MATLAB?(MathWorks 開發(fā))具有用于濾波器設(shè)計與分析 (FDA) 的絕妙工具箱,但是它提供太多濾波器設(shè)計方法,會讓新用戶無從下手。另外,您必須能夠根據(jù)DSP理論解釋MATLAB命令產(chǎn)生的結(jié)果,僅僅這
          • 關(guān)鍵字: Xilinx  FGPA  FAE  

          FAE講堂:提升創(chuàng)造力的數(shù)字設(shè)計工具 FPGA Editor

          •   工程師在設(shè)計過程中,經(jīng)常需要一定的創(chuàng)造力(你不妨稱之為數(shù)字管道膠帶)才能夠保證設(shè)計的順利完成。過去8年時間里,我曾經(jīng)目睹許多優(yōu)秀工程師利用這一方法出色地完成了許多工作,而他們采用的最主要工具就是 FPGA Editor。   利用FPGA Editor,你可以察看完成的設(shè)計并確定是否在FPGA構(gòu)造一級真正實現(xiàn)了設(shè)計意圖 – 而這對于任何工程師或現(xiàn)場應(yīng)用工程師來說都是非常需要的。假設(shè)你拿到協(xié)作者的設(shè)計,需要對其進行修改,但他們的 HDL源代碼非常難于理解,或者根本沒有任何注釋或文檔。也許你
          • 關(guān)鍵字: Xilinx  FPGA  設(shè)計工具  

          手把手課堂:擴展PowerPC的復(fù)數(shù)運算指令集

          •   汽車多媒體系統(tǒng)面臨著嚴(yán)峻的技術(shù)挑戰(zhàn):如何在漫長的整個產(chǎn)品壽命周期中實現(xiàn)系統(tǒng)的可升級性?轎車和卡車的壽命通常都在十年以上。這就使汽車多媒體系統(tǒng)難以跟上消費電子產(chǎn)品和移動通信標(biāo)準(zhǔn)迅速變化的步伐。在大多數(shù)情況下,只更新多媒體軟件是不夠的,甚至是不可能的。   許多應(yīng)用,尤其是多媒體編解碼器,還需要提高計算性能。然而,為將來的使用而設(shè)計具有“后備”計算能力的系統(tǒng),既不經(jīng)濟,在技術(shù)上也不可行,因為許多技術(shù)變化是根本無法預(yù)見的。   一種解決方案是以某種方式隨軟件一起升級計算平臺,使系
          • 關(guān)鍵字: Xilinx  PowerPC  運算指令集  

          手把手課堂:簡單MicroBlaze微控制器的理念

          •   嵌入式微控制器對復(fù)雜程度各異的多種應(yīng)用而言都很普遍。賽靈思 (Xilinx)自2000年以來始終致力于推出固化的(PowerPC® 405 和 PowerPC 440)以及基于構(gòu)造 (MicroBlaze™) 的嵌入式微處理器。MicroBlaze 的顯著優(yōu)勢在于能滿足復(fù)雜應(yīng)用的需求,有些情況下除了運行簡單的通用應(yīng)用而外還能運行操作系統(tǒng)。   設(shè)計人員能夠在當(dāng)前所有的賽靈思架構(gòu)中實施 MicroBlaze 軟處理器,在不同產(chǎn)品系列間實現(xiàn)方便的轉(zhuǎn)換,獲得無與倫比的靈活性。但是,Mi
          • 關(guān)鍵字: Xilinx  MicroBlaze  微控制器  

          在賽靈思FPGA設(shè)計中保留可重復(fù)結(jié)果

          •   滿足設(shè)計的時序要求本身已非易事,而要實現(xiàn)某項設(shè)計的整體時序具有完全可重復(fù)性有時候卻是不可能的任務(wù)。幸運的是,設(shè)計人員可以借助有助于實現(xiàn)可重復(fù)時序結(jié)果的設(shè)計流程概念。影響最大的四個方面分別是 HDL 設(shè)計實踐、綜合優(yōu)化、平面布局和實施方案。   就獲得可重復(fù)結(jié)果而言,資源利用和頻率要求都很高的設(shè)計是最大的挑戰(zhàn)。它們也是可重復(fù)結(jié)果流程需求最高的設(shè)計。得到可重復(fù)結(jié)果的第一步是在 HDL設(shè)計階段運用設(shè)計合理的實踐。遵循出色的分層邊界實踐有助于保持邏輯整體性,而這在設(shè)計變更時有助于保持可重復(fù)結(jié)果。一條不錯的規(guī)
          • 關(guān)鍵字: Xilinx  FPGA  

          基于賽靈思Virtex-5 FPGA的LTE仿真器實現(xiàn)

          •   功能強大的可編程邏輯平臺使得Prisma Engineering公司能夠針對所有蜂窩網(wǎng)絡(luò)提供可重配置無線測試設(shè)備。長期演進(LTE)是移動寬帶的最3GPP標(biāo)準(zhǔn),它打破了現(xiàn)有蜂窩網(wǎng)絡(luò)的固有模式。LTE與前代UMTS和GSM標(biāo)準(zhǔn)相比,除采用高頻譜效率的射頻技術(shù)外,其架構(gòu)還得到了大幅簡化。LTE系統(tǒng)的無線接入部分Node-B,是連接無線電和整個互聯(lián)網(wǎng)協(xié)議核心網(wǎng)絡(luò)之間的邊緣設(shè)備。這種架構(gòu)無法監(jiān)測和測試等效于UMTS中間鏈路上的元件。必須通過無線電接口,才能有效地測試LTE網(wǎng)絡(luò)元件。   這正是Prisma
          • 關(guān)鍵字: Xilinx  FPGA  Virtex-5  

          以基于賽靈思 FPGA 的硬件加速技術(shù)打造高速系統(tǒng)

          •   設(shè)計人員時常需要通過增加計算能力或額外輸入(或兩者)延長現(xiàn)有的嵌入式系統(tǒng)的壽命。而可編程系統(tǒng)平臺在這里大有用武之地。我們曾經(jīng)希望用安全網(wǎng)絡(luò)連接功能升級一套網(wǎng)絡(luò)可編程系統(tǒng)。安全網(wǎng)絡(luò)連接功能需要加密才能運行安全外殼 (SSH)、傳輸層安全 (TLS)、安全套接層(SSL) 或虛擬專用網(wǎng) (VPN) 等協(xié)議。這種安全需求與把各種系統(tǒng)接入因特網(wǎng)的需求同步增長,例如,為了啟用遠程管理與分布式控制系統(tǒng)。   因該領(lǐng)域仍在發(fā)展并且標(biāo)準(zhǔn)尚未固定,因此成本主要取決于一次性工程費用。所以,F(xiàn)PGA 技術(shù)能實現(xiàn)最高價值。
          • 關(guān)鍵字: Xilinx  FPGA  

          賽靈思推出ISE 12.3設(shè)計套件,引入AMBA 4 AXI4 IP 核

          •   ISE12.3增強PlanAhead 設(shè)計與分析控制臺,并進一步優(yōu)化功耗,標(biāo)志著支持 AXI4 接口IP的推出,和即插即用FPGA 設(shè)計的實現(xiàn)   賽靈思公司(Xilinx, Inc.  )宣布推出 ISE® 12.3設(shè)計套件,這標(biāo)志著這個FPGA 行業(yè)領(lǐng)導(dǎo)者針對片上系統(tǒng)設(shè)計的互聯(lián)功能模塊, 開始推出滿足AMBA® 4 AXI4 規(guī)范的IP核,以及用于提高生產(chǎn)力的 PlanAhead™ 設(shè)計和分析控制臺,同時還推出了用于降低了Spartan®-6 FPG
          • 關(guān)鍵字: Xilinx  FPGA  ISE  

          手把手課堂:Xilinx FPGA設(shè)計時序約束指南

          •   作為賽靈思用戶論壇的定期訪客,我注意到新用戶往往對時序收斂以及如何使用時序約束來達到時序收斂感到困惑。為幫助 FPGA設(shè)計新手實現(xiàn)時序收斂,讓我們來深入了解時序約束以及如何利用時序約束實現(xiàn)FPGA 設(shè)計的最優(yōu)結(jié)果。   何為時序約束?   為保證設(shè)計的成功,設(shè)計人員必須確保設(shè)計能在特定時限內(nèi)完成指定任務(wù)。要實現(xiàn)這個目的,我們可將時序約束應(yīng)用于連線中——從某 FPGA 元件到 FPGA 內(nèi)部或 FPGA 所在 PCB 上后續(xù)元件輸入的一條或多條路徑。   在 FPGA 設(shè)計
          • 關(guān)鍵字: Xilinx  FPGA  設(shè)計時序  

          賽靈思變革生態(tài)系統(tǒng)加速可編程平臺主流應(yīng)用進程

          •   日前, 全球可編程平臺領(lǐng)導(dǎo)廠商賽靈思公司 (Xilinx, Inc. (NASDAQ:XLNX))宣布,為建立新的 FPGA 應(yīng)用市場, 賽靈思公司將通過其開放式平臺以及對業(yè)界重要標(biāo)準(zhǔn)的支持變革生態(tài)系統(tǒng), 推動賽靈思聯(lián)盟計劃向縱深層次發(fā)展。作為該計劃的一部分, 賽靈思將幫助 FPGA 用戶根據(jù)其具體的設(shè)計與開發(fā)要求更方便快捷地找到理想的合作伙伴, 同時提升客戶與賽靈思聯(lián)盟計劃成員合作時的滿意度和質(zhì)量。   賽靈思合作伙伴生態(tài)系統(tǒng)及聯(lián)盟高級總監(jiān) Dave Tokic 指出: “客戶開始越來
          • 關(guān)鍵字: Xilinx  ASIC  ASSP  

          三大系列28nm器件成功融入主流高端ASIC和ASSP市場

          •   自上世紀(jì)80年代中期FPGA作為1,500 ASIC等效門器件首次進入市場以來,F(xiàn)PGA已經(jīng)取得了長足的發(fā)展。二十年后,隨著賽靈思新款7系列的推出,F(xiàn)PGA準(zhǔn)備實踐其曾經(jīng)的承諾,即在某天完全取代ASIC,成為電子行業(yè)的主流邏輯IC。隨著7系列FPGA的推出,通過更低的傳統(tǒng)上由ASIC和ASSP占據(jù)主要地位的中低批量應(yīng)用市場的總擁有成本,同時為大批量應(yīng)用市場提供等同的總擁有成本,賽靈思進而從PLD生產(chǎn)商搖身一變成為了一流的邏輯IC供應(yīng)商。另外,這種總擁有成本上的優(yōu)勢與傳統(tǒng)上FPGA能夠加速產(chǎn)品面市和降低
          • 關(guān)鍵字: Xilinx  28nm  ASIC  ASSP  

          基于并行相關(guān)的實時時差估計器設(shè)計與實現(xiàn)

          • 摘要:從相關(guān)時差估計的基本原理出發(fā),提出了一種并行時域相關(guān)結(jié)構(gòu),基于這種并行結(jié)構(gòu)設(shè)計實現(xiàn)了一種簡單高效...
          • 關(guān)鍵字: FPGA無源定位  AD9211  并行結(jié)構(gòu)  Xilinx  
          共775條 34/52 |‹ « 32 33 34 35 36 37 38 39 40 41 » ›|

          xilinx-spartan介紹

          您好,目前還沒有人創(chuàng)建詞條xilinx-spartan!
          歡迎您創(chuàng)建該詞條,闡述對xilinx-spartan的理解,并與今后在此搜索xilinx-spartan的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();