<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > VHDL語(yǔ)言在FPGA/CPLD開發(fā)中的應(yīng)用?

          VHDL語(yǔ)言在FPGA/CPLD開發(fā)中的應(yīng)用?

          作者: 時(shí)間:2011-05-31 來(lái)源:網(wǎng)絡(luò) 收藏

          【摘 要】 通過(guò)設(shè)計(jì)實(shí)例詳細(xì)介紹了用(VHSIC Hardware DescriptionLanguage)的方法,以及與電路圖輸入和其它HDL相比,使用的優(yōu)越性。
          關(guān)鍵詞:,,EDA

          1 引 言
            EDA(電子設(shè)計(jì)自動(dòng)化)關(guān)鍵技術(shù)之一是采用硬件描述語(yǔ)言(HDL)描述電路系統(tǒng),包括電路結(jié)構(gòu)、行為方式、邏輯功能以及接口。就(分別是現(xiàn)場(chǎng)可編程門陣列和復(fù)雜可編程邏輯器件的簡(jiǎn)稱)來(lái)說(shuō),比較流行的HDL主要有VHDL、ABEL-HDL、AHDL等,其中,VHDL對(duì)系統(tǒng)的行為描述能力最強(qiáng),已被IEEE確定為標(biāo)準(zhǔn)HDL,并得到目前所有流行EDA軟件的支持,進(jìn)而成為系統(tǒng)設(shè)計(jì)領(lǐng)域最佳的硬件描述語(yǔ)言。用VHDL設(shè)計(jì)電路系統(tǒng),可以把任何復(fù)雜的電路系統(tǒng)視為一個(gè)模塊,對(duì)應(yīng)一個(gè)設(shè)計(jì)實(shí)體。在VHDL層次化設(shè)計(jì)中,它所設(shè)計(jì)的模塊既可以是頂層實(shí)體,又可以是較低層實(shí)體,但對(duì)不同層次模塊應(yīng)選擇不同的描述方法(如行為描述或結(jié)構(gòu)描述)。本文在設(shè)計(jì)實(shí)例中詳細(xì)介紹了用VHDL語(yǔ)言FPGA/CPLD的方法,以及與電路圖輸入和其它HDL語(yǔ)言相比,使用VHDL語(yǔ)言的優(yōu)越性。
          2 設(shè)計(jì)實(shí)例
            一個(gè)復(fù)雜電路系統(tǒng)的設(shè)計(jì)都是采用自頂向下將系統(tǒng)按功能逐層分割的層次化設(shè)計(jì)方法。在頂層設(shè)計(jì)中,要對(duì)內(nèi)部各功能塊的連接關(guān)系和對(duì)外的接口關(guān)系進(jìn)行描述,而功能塊實(shí)際的邏輯功能和具體的實(shí)現(xiàn)形式則由下一層模塊來(lái)描述。在系統(tǒng)的底層設(shè)
          計(jì)中,如采用VHDL進(jìn)行描述,由于其對(duì)系統(tǒng)很強(qiáng)的行為描述能力,可以不必使系統(tǒng)層層細(xì)化,從而避開具體的器件結(jié)構(gòu),從邏輯行為上直接對(duì)模塊進(jìn)行描述和設(shè)計(jì),之后,EDA軟件中的VHDL綜合器將自動(dòng)將程序綜合成為具體FPGA/CPLD等目標(biāo)芯片的網(wǎng)表文件,無(wú)疑可使設(shè)計(jì)大為簡(jiǎn)化。下面以數(shù)字鐘的設(shè)計(jì)為例予以說(shuō)明。
          數(shù)字鐘的功能主要有:
            ·能夠?qū)γ?、分、小時(shí)進(jìn)行計(jì)時(shí)(按每日24小時(shí)計(jì)時(shí)制)。
          ·秒、分、小時(shí)位能夠調(diào)整。

          本文引用地址:http://www.ex-cimer.com/article/150670.htm

            根據(jù)數(shù)字鐘的功能要求,可將數(shù)字鐘分為四個(gè)功能塊:秒脈沖發(fā)生器、計(jì)數(shù)器、校時(shí)器和顯示電路。而這些功能塊又可進(jìn)一步分割為更小的模塊,如計(jì)數(shù)器模塊可再分為秒、分、小時(shí)計(jì)數(shù)器。其它功能塊的細(xì)化過(guò)程不再詳述,數(shù)字鐘的系統(tǒng)框圖如圖1所示。圖中,P1鍵為自動(dòng)計(jì)時(shí)、校時(shí)、校分和校秒四種工作狀態(tài)選擇鍵,P2鍵為系統(tǒng)處于校時(shí)狀態(tài)時(shí)對(duì)時(shí)、分、秒進(jìn)行校準(zhǔn)的校時(shí)鍵,32.768kHz為作為脈沖源的晶振頻率,經(jīng)14級(jí)2分頻器分頻在其最高位、次高位以及第五位輸出端分別可獲得1Hz、2Hz和1024Hz的脈沖信號(hào),這三個(gè)脈沖信號(hào)分別用作計(jì)時(shí)脈沖、校時(shí)脈沖和顯示電路的掃描時(shí)鐘。下面用VHDL語(yǔ)言設(shè)計(jì)底層的小時(shí)計(jì)數(shù)器。小時(shí)計(jì)數(shù)器為一個(gè)24進(jìn)制BCD碼計(jì)數(shù)器,其模塊示意圖如圖2所示。reset、clk分別為異步清零端和時(shí)鐘端,qb和qa分別為十位和個(gè)位的四位BCD碼輸出端。該模塊計(jì)數(shù)方式的實(shí)現(xiàn)比較復(fù)雜,當(dāng)十位數(shù)為0或1時(shí),個(gè)位進(jìn)行10進(jìn)制計(jì)數(shù),當(dāng)十位數(shù)為2時(shí),個(gè)位進(jìn)行4進(jìn)制計(jì)數(shù)。如用電路圖描述,則必須選擇和調(diào)用若干門、觸發(fā)器或宏單元,并需對(duì)所調(diào)用的器件進(jìn)行合適的控制。而若采用VHDL語(yǔ)言對(duì)其功能進(jìn)行描述,問(wèn)題則顯得非常簡(jiǎn)單。

           


            下面是用VHDL語(yǔ)言設(shè)計(jì)的24進(jìn)制BCD碼計(jì)數(shù)器count24模塊∶
            ENTITY count24 IS


          上一頁(yè) 1 2 下一頁(yè)

          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();