<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > EDA/PCB > 市場(chǎng)分析 > 14納米:“六仙”過(guò)海 各顯神通

          14納米:“六仙”過(guò)海 各顯神通

          作者: 時(shí)間:2013-08-22 來(lái)源:中國(guó)電子報(bào) 收藏

            摩爾定律即將敲響終止的音符,業(yè)界對(duì)于半導(dǎo)體業(yè)的前景也產(chǎn)生了各種看法,其中從大的方面,包括從工藝來(lái)看,在14nm之后如何往下走,包括10nm、7nm甚至5nm以及450mm硅片的進(jìn)程等。顯然時(shí)至今日尚沒(méi)有非常清楚的路線圖,但是硅基半導(dǎo)體之后采用什么材料仍值得人們期待。

          本文引用地址:http://www.ex-cimer.com/article/159198.htm

            :繼續(xù)執(zhí)行“Tick-Tock”發(fā)展策略

            預(yù)計(jì)2014年導(dǎo)入14nm制程量產(chǎn),2015年導(dǎo)入10nm制程,并計(jì)劃于2017年達(dá)到7nm。

            據(jù)已經(jīng)公布的工藝路線圖顯示,在2013年時(shí)將實(shí)現(xiàn)14nm,之后能否繼續(xù)遵循每?jī)赡瓿叽缈s小70%的工藝規(guī)則,至少到目前為止業(yè)界認(rèn)為仍是難以確定。至此業(yè)界各家僅是表示工藝尺寸有可能縮小至7nm甚至5nm。

            顯然英特爾的說(shuō)法不一樣,仍顯示出其頭號(hào)芯片制造商的決心與信心。英特爾副總經(jīng)理兼元件研究處長(zhǎng)麥克(Mike Mayberry)在剛剛落幕的比利時(shí)微電子研究機(jī)構(gòu)IMEC2013科技論壇演講中確認(rèn),英特爾已確定10nm可于2015年量產(chǎn)。根據(jù)它的最新工藝技術(shù)路線圖,英特爾重申繼續(xù)執(zhí)行“Tick-Tock”發(fā)展策略,也就是每?jī)赡陮?duì)半導(dǎo)體技術(shù)制程進(jìn)行大規(guī)模的升級(jí)。英特爾預(yù)計(jì)2014年導(dǎo)入14nm制程量產(chǎn),2015年導(dǎo)入10nm制程,并計(jì)劃于2017年可達(dá)7nm的最先進(jìn)水平。不過(guò),Mike Mayberry還表示,英特爾也在研發(fā)10nm以下時(shí)可替代硅的新型半導(dǎo)體材料,如三五族化合物半導(dǎo)體等,希望能將半導(dǎo)體的性能發(fā)揮至極致。

            眾所周知,目前英特爾在移動(dòng)智能終端芯片的軟肋在于功耗,它堅(jiān)持采用復(fù)雜的X86架構(gòu),基本上是延續(xù)電腦發(fā)展的思考模式,僅專注于產(chǎn)品的效能增減來(lái)試圖降低功耗,而ARM的思路是采用大小核,根據(jù)不同的用途來(lái)選擇。

            英特爾執(zhí)行副總裁兼架構(gòu)事業(yè)部總經(jīng)理浦大衛(wèi)(DadiPerlmutter)近期在中國(guó)臺(tái)灣舉行的Computex貿(mào)易展會(huì)上接受采訪時(shí)表示,英特爾與ARM在芯片耗電量和性能方面的競(jìng)爭(zhēng)將結(jié)束,因?yàn)橛⑻貭柤磳⑼瞥龅幕赟ilvermont架構(gòu)的移動(dòng)芯片,在耗電量和性能方面都超過(guò)ARM速度最快的內(nèi)核Cortex-A15。

            浦大衛(wèi)表示,Silvermont芯片將通過(guò)改進(jìn)電路和電源管理功能提高每瓦性能,Silvermont芯片將采用22nm工藝制造,采用效率更高的FinFET 3D晶體管結(jié)構(gòu)。

            新一代凌動(dòng)“Silvermont”采用了全新的制造工藝和設(shè)計(jì),提高了性能,降低了功耗。使用Silvermont微架構(gòu)的智能手機(jī)用平臺(tái)的開(kāi)發(fā)代碼為“Merrifield”,平板電腦用平臺(tái)的開(kāi)發(fā)代碼為“Bay Trail”。Merrifield將從2014年第一季度開(kāi)始供貨,支持Android操作系統(tǒng)。平板電腦平臺(tái)“Bay Trail-T”將于2013年秋季推出,支持Android和Windows 8操作系統(tǒng)。據(jù)稱,Silvermont的圖形處理性能提升到原來(lái)的3倍,還可以向高分辨率顯示器輸出影像,而且續(xù)航時(shí)間更長(zhǎng)。Bay Trail除了可應(yīng)用在平板電腦之外,還可應(yīng)用在包括低價(jià)位二合一終端、筆記本電腦、顯示器、一體型個(gè)人電腦等。

            英特爾在2012年的研發(fā)費(fèi)用相比于排名第二的高通多出7倍,它在2013年的投資將超過(guò)臺(tái)積電。英特爾在2013年會(huì)將22nm的FinFET工藝生產(chǎn)用于移動(dòng)設(shè)備中的凌動(dòng)(Atom)芯片,2014年時(shí)還將擴(kuò)展到14nm工藝中去。

            IBM:FD-SOI是22nm強(qiáng)勢(shì)候選技術(shù)

            盡管SOI技術(shù)有優(yōu)勢(shì),但繼續(xù)往14nm及以下節(jié)點(diǎn)走時(shí)可能會(huì)遇到困難。

            IBM公司半導(dǎo)體研發(fā)中心的副總裁Gary Patton最近表示FD-SOI是22nm制程節(jié)點(diǎn)的強(qiáng)勢(shì)候選技術(shù)。

            目前有很多高性能應(yīng)用中可能會(huì)需要使用FD-SOI技術(shù)。SOI是指在IC制造過(guò)程中采用硅+絕緣層+硅的硅片,這種結(jié)構(gòu)方式的優(yōu)勢(shì)是可以減小器件的寄生電容,并改善器件的性能。

            在部分耗盡型SOI結(jié)構(gòu)中,SOI中頂層硅層的厚度為50nm~90nm,因此溝道下方的硅層中僅有部分被耗盡層占據(jù),由此可導(dǎo)致電荷在耗盡層以下的電中性區(qū)域中累積,造成所謂的浮體效應(yīng)。而FD-SOI可將位于頂層的硅層厚度減薄至5nm~20nm,這樣器件在工作時(shí)柵極下面溝道位置下方的耗盡層便可充滿整個(gè)硅薄膜層,如此便可消除在PD-SOI(部分耗盡層)中常見(jiàn)的浮體效應(yīng)。

            盡管SOI技術(shù)有優(yōu)勢(shì),可以繼續(xù)沿用現(xiàn)有的平面制造工藝,但是由于SOI硅片的成本至少高出10倍左右,再加上至20nm工藝時(shí)頂層硅的厚度已降至6.3nm,厚度精度控制在±0.5nm之間,因此繼續(xù)往14nm及以下節(jié)點(diǎn)走時(shí)可能會(huì)遇到困難。目前參與SOI俱樂(lè)部的制造商有IBM、意法半導(dǎo)體、Soitec、格羅方德,設(shè)計(jì)服務(wù)公司有上海的芯原及另一家不知名的日本公司等,而真正用于量產(chǎn)的產(chǎn)品僅是意法半導(dǎo)體采用28nm工藝制程的SoC。

            SOI工藝令人頭疼的另一個(gè)問(wèn)題是此種技術(shù)是否能適合在移動(dòng)設(shè)備市場(chǎng)中使用,業(yè)界對(duì)于SOI晶體管的所謂“歷史效應(yīng)”和尺寸可微縮空間保持懷疑的態(tài)度,因此多年來(lái)SOI技術(shù)一直只在部分高端臺(tái)式機(jī)處理器和其他高性能應(yīng)用中才有應(yīng)用,SOI中熱的不良導(dǎo)體BOX層(埋入式氧化物層)導(dǎo)致的散熱劣勢(shì)應(yīng)該也是其原因之一。

            臺(tái)積電:2014年推出16nm FinFET工藝

            臺(tái)積電的著眼點(diǎn)不僅在于工藝制程的縮小,同樣也聚焦在CoWoS即所謂3D IC制造上。

            臺(tái)積電首席技術(shù)官兼研發(fā)副總裁孫元成在2013年4月已經(jīng)透露其官方的CMOS工藝路線圖,從2013年先進(jìn)的20nm平面SoC開(kāi)始,至2014年臺(tái)積電將采用16nm節(jié)點(diǎn)的FinFET工藝制程,使用低供電電壓,從0.8V降至 0.6V,從而使超低功耗處理器如ARMv8盡可能降低功耗至750毫瓦。接下來(lái),臺(tái)積電計(jì)劃在2015年至2016年間,通過(guò)直寫電子束或者極紫外光、EUV光刻技術(shù)將其FinFET的工藝提升至10nm節(jié)點(diǎn),以提高35%的性能。

            盡管臺(tái)積電預(yù)計(jì)它的16nm FinFET與20nm制程僅差距一個(gè)世代,于2015年時(shí)推出,而它的10nm制程于2017年導(dǎo)入量產(chǎn),但是與英特爾不斷領(lǐng)先的工藝制程技術(shù)相比,可能仍有兩年的差距。但是臺(tái)積電在張忠謀的領(lǐng)導(dǎo)下,它的投資力度很大,而且業(yè)績(jī)節(jié)節(jié)上升,所以臺(tái)積電的龍頭地位恐暫時(shí)無(wú)人能夠撼動(dòng)。

            顯然臺(tái)積電的著眼點(diǎn)不僅在于工藝制程的縮小,同樣也聚焦在CoWoS即所謂3D IC制造上,利用TSV等3D IC技術(shù),將異質(zhì)架構(gòu)的多個(gè)芯片封裝在一體。

            目前,Xilinx(賽靈思)是臺(tái)積電公布的第一代3D技術(shù)的唯一客戶。第一代3D技術(shù)也稱作2.5 D硅中介(interposer)技術(shù),用于整合多個(gè)FPGA和其他芯片。

            三星:完成14nm FinFET測(cè)試芯片流片

            三星的14nm FinFET工藝設(shè)計(jì)套件已經(jīng)提供給客戶,相關(guān)產(chǎn)品設(shè)計(jì)也可開(kāi)始提供,但三星并未披露會(huì)何時(shí)投入量產(chǎn)。

            三星是全球DRAM與NAND閃存都居第一位的存儲(chǔ)器制造大廠,實(shí)力非凡。近年來(lái)由于移動(dòng)市場(chǎng)的興起,存儲(chǔ)器的需求已不如從前。三星要爭(zhēng)先的意識(shí)非常強(qiáng)烈,它迅速積極地轉(zhuǎn)型到邏輯工藝,并在美國(guó)奧斯汀累計(jì)投資達(dá)60億美元興建12英寸晶圓廠。由于三星電子的產(chǎn)業(yè)鏈很完整,自身生產(chǎn)終端電子產(chǎn)品包括智能手機(jī)、平板電腦、電視、冰箱等,所以它的邏輯芯片除了部分自用之外,還可將富裕的產(chǎn)能做代工服務(wù),并首先爭(zhēng)取到蘋果的A系列處理器訂單。

            2013年第一季度三星在28nm~32nm制程的12英寸晶圓月產(chǎn)能平均為22.5萬(wàn)片,約占全球代工的50%,遠(yuǎn)高于臺(tái)積電的11萬(wàn)片。排名第三的格羅方德為6.5萬(wàn)片。

            在2012全球代工廠商排名中三星以43.3億美元的業(yè)績(jī)擠下UMC(聯(lián)電)成為第三, 相比2011年增長(zhǎng)一倍。不僅如此,它與格羅方德的銷售額差距僅為兩億美元,所以三星非常有可能在2013年代工排名中成為老二。

            作為14nm FinFET工藝開(kāi)發(fā)的一部分,三星聯(lián)合ARM、Cadence、Mentor、Synopsys等生態(tài)伙伴,已完成了多種測(cè)試芯片的流片工作,包括完整的ARM Cortex-A7處理器、可在接近閾值電壓下工作的SRAM芯片、模擬IP陣列等。

            14nm Cortex-A7處理器的成功流片是三星14nm工藝的最關(guān)鍵性突破,也是Fabless的新希望。Cortex A7、A15是天生一對(duì),在ARM big.LITTLE策略中分別負(fù)責(zé)低功耗、高性能,而此番在FinFET工藝上部署成功,也驗(yàn)證了ARM新平臺(tái)的未來(lái)可行性。下一步就應(yīng)該是嘗試流片Cortex-A15了。

            三星表示,對(duì)比目前的32nm/28nm HKMG工藝,14nm FinFET工藝會(huì)進(jìn)一步大大改善SoC芯片的漏電率和動(dòng)態(tài)功耗。

            三星的14nm FinFET工藝設(shè)計(jì)套件已經(jīng)提供給客戶,相關(guān)產(chǎn)品設(shè)計(jì)也可開(kāi)始提供,但三星并未披露會(huì)何時(shí)投入量產(chǎn)。

            格羅方德:14nm和10nm都將導(dǎo)入FinFET

            格羅方德的10nm與14nm XM都是所謂的混合制程,10nm就是運(yùn)用14nm的設(shè)備與設(shè)計(jì)工具,制造線寬約為10nm的芯片。

            格羅方德的目標(biāo)很高,技術(shù)長(zhǎng)蘇比(SubiKengeri)認(rèn)為移動(dòng)裝置電子產(chǎn)品內(nèi)的芯片對(duì)于晶圓先進(jìn)制程的需求將會(huì)高度增長(zhǎng),依2011年到2016年的預(yù)測(cè),40nm以下先進(jìn)制程的晶圓年復(fù)合成長(zhǎng)率達(dá)37%,到2016年時(shí)產(chǎn)值在全球晶圓代工的比重將高達(dá)60%。

            為了搶攻這一波移動(dòng)商機(jī),格羅方德在2012年已經(jīng)開(kāi)始準(zhǔn)備14nm XM制程,計(jì)劃于2014年量產(chǎn),并宣布它的10nm制程將在2015年量產(chǎn),兩種制程都將導(dǎo)入FinFET的3D工藝。

            格羅方德的10nm與14nm XM都是所謂的混合制程,例如14nm就是采用20nm的設(shè)備與設(shè)計(jì)工具做出線寬14nm的芯片,10nm就是運(yùn)用14nm的設(shè)備與設(shè)計(jì)工具,制造線寬約為10nm的芯片。

            相較于臺(tái)積電暫先不做14nm制程,而是推出16nm FinFET,蘇比認(rèn)為公司之所以開(kāi)發(fā)14nm制程,是因?yàn)橛⑻貭柌粩噙M(jìn)軍移動(dòng)市場(chǎng),使得臺(tái)積電公司的客戶感受到巨大的壓力。

            格羅方德預(yù)計(jì)20nm制程在2013年下半年推出,與臺(tái)積電幾乎同步,公司的12英寸廠包括德國(guó)德勒斯登的晶圓一廠(Fab1)與紐約八廠(Fab8),各有4萬(wàn)片與6萬(wàn)片的月產(chǎn)能,其中Fab8將導(dǎo)入28nm以下最先進(jìn)制程。

            格羅方德技術(shù)長(zhǎng)蘇比近期赴中國(guó)臺(tái)灣,宣稱兩年內(nèi)將拿下全球晶圓代工技術(shù)的龍頭地位,繼14nmXM制程于2014年量產(chǎn)之后,在2015年將開(kāi)始10nm制程量產(chǎn),這樣的進(jìn)度相比臺(tái)積電可能領(lǐng)先兩年,也幾乎與英特爾同步。

            聯(lián)電:14nm FinFET工藝推出時(shí)間可能生變

            對(duì)于聯(lián)電來(lái)說(shuō)最大的問(wèn)題是速度,其14nm FinFET工藝的推出時(shí)間可能生變。

            讓業(yè)界產(chǎn)生驚奇的是,近期聯(lián)電也宣布與IBM合作,開(kāi)發(fā)14nm甚至10nm工藝制程,反映在代工領(lǐng)域中幾乎沒(méi)有人掉隊(duì)。它的FinFET工藝的授權(quán)同樣來(lái)自IBM公司,因此具體做法與格羅方德應(yīng)該是相似的,都是在20nm后端工藝上采用14nm的FinFET晶體管結(jié)構(gòu)。唯一讓業(yè)界生疑的是它的FinFET工藝是采用體硅材料,還是UT SOI片。

            對(duì)于聯(lián)電來(lái)說(shuō)最大的問(wèn)題是速度,格羅方德將在2014年啟用14nm XM工藝(如果不出意外的話),而聯(lián)電之前曾公布過(guò)一個(gè)計(jì)劃即將在2014年下半年實(shí)現(xiàn)14nm的FinFET工藝。但是考慮到聯(lián)電在2014年時(shí)才會(huì)上馬28nm HKMG工藝,中間隔了一個(gè)20nm工藝,因此它的14nm FinFET工藝的推出時(shí)間可能生變。

            14nm工藝是個(gè)壁壘,也是一個(gè)“坎”,能夠跨越的廠商已不會(huì)超過(guò)10家,其中有技術(shù)問(wèn)題,可能更多的是經(jīng)濟(jì)問(wèn)題。因?yàn)?4nm工藝研發(fā)與制造的費(fèi)用太大,而市場(chǎng)缺乏足夠的需求來(lái)填補(bǔ)。但是在半導(dǎo)體業(yè)界中,目前各家代工商盡其所能互相爭(zhēng)艷,市場(chǎng)競(jìng)爭(zhēng)最終只剩下勝利者。

            14nm是個(gè)“坎”

            尺寸縮小是推動(dòng)產(chǎn)業(yè)進(jìn)步的“靈舟妙藥”,每?jī)赡瓿叽缈s小70%的魔咒至此沒(méi)有延緩的跡象,2011年是22nm工藝,到2013年工藝應(yīng)該到14nm。眾所周知,尺寸縮小僅是一種手段,如果缺乏尺寸縮小而帶來(lái)的紅利,業(yè)界不會(huì)盲目跟進(jìn)。依目前的態(tài)勢(shì),業(yè)界已然有所爭(zhēng)議,有人認(rèn)為由28nm向22nm過(guò)渡時(shí)成本可能反而上升,這或是產(chǎn)業(yè)過(guò)渡過(guò)程中的正常現(xiàn)象。

            全球半導(dǎo)體業(yè)中還能繼續(xù)跟蹤14nm工藝節(jié)點(diǎn)者可能尚余不到10家,包括英特爾、三星,臺(tái)積電、格羅方得、聯(lián)電、東芝、海力士、美光等。顯然在半導(dǎo)體業(yè)中領(lǐng)軍尺寸縮小的企業(yè)是NAND閃存及CPU制造商及一批FPGA廠商。而如臺(tái)積電等代工制造商,由于從市場(chǎng)需求出發(fā),通常工藝制程會(huì)落后一代。由此也并非表示代工模式一定會(huì)落后于IDM,因?yàn)槭袌?chǎng)經(jīng)濟(jì)是需要權(quán)衡技術(shù)能力與成本的。近期也出現(xiàn)如FPGA的Altera跳過(guò)臺(tái)積電而直接尋求與英特爾合作開(kāi)發(fā)14nm FPGA,反映市場(chǎng)的錯(cuò)蹤復(fù)雜。

            眾所周知,尺寸縮小僅是一種手段,如何繼續(xù)往下走,似乎業(yè)界把希望押寶在FinFET 3D工藝與EUV光刻上。從長(zhǎng)遠(yuǎn)來(lái)看,集成電路產(chǎn)業(yè)的發(fā)展總是在性能、成本和功耗三者之間做平衡,由市場(chǎng)做出最后的選擇。應(yīng)在保持性能的前提下,盡可能地降低成本,同時(shí)在保持性能與成本的前提下應(yīng)該盡可能地降低功耗。

            市場(chǎng)調(diào)研機(jī)構(gòu)Gartner的分析師Dean Freeman日前表示,目前半導(dǎo)體業(yè)界所面臨的情況與上世紀(jì)80年代的情形非常相似,當(dāng)時(shí)業(yè)界為了擺脫面臨的發(fā)展瓶頸,開(kāi)始逐步采用CMOS技術(shù)來(lái)制造內(nèi)存和邏輯芯片,從而開(kāi)創(chuàng)了半導(dǎo)體業(yè)界的新紀(jì)元。而目前采用FinFET的3D工藝會(huì)否產(chǎn)生同樣的光環(huán),業(yè)界值得期待。

            14nm納米是個(gè)壁壘或者“坎”。盡管英特爾至今并沒(méi)有疑慮,仍堅(jiān)挺采用193nm浸液式光刻加上兩次圖形曝光等輔助技術(shù),將于2013年底時(shí)會(huì)推出14nm的測(cè)試芯片,并于2014年開(kāi)始量產(chǎn)。然而在業(yè)界似乎已產(chǎn)生分歧,如臺(tái)積電從20nm之后的下一個(gè)工藝節(jié)點(diǎn)設(shè)定為16nm。

            對(duì)于22nm/16nm級(jí)別的工藝制程,業(yè)界認(rèn)為有多種晶體管結(jié)構(gòu)可供選擇,包括III-V族溝道技術(shù)、體硅技術(shù)、FinFET立體晶體管技術(shù)、FD-SOI全耗盡型平面晶體管技術(shù)以及多柵立體晶體管技術(shù)等。但是依目前的分析來(lái)看,自14nm(包括14nm)之后,采用FinFET 3D結(jié)構(gòu)工藝或?qū)⒊蔀橹髁骷夹g(shù)。

            在現(xiàn)階段尚有兩種技術(shù)在互相爭(zhēng)艷:一種是如英特爾表示會(huì)在22nm制程中開(kāi)始采用FinFET結(jié)構(gòu)的三柵晶體管技術(shù)。另一種是如IBM、意法半導(dǎo)體等公司表示考慮在22nm制程節(jié)點(diǎn)時(shí)采用FD-SOI或者FD-UT SOI全耗盡技術(shù)。IBM公司曾經(jīng)在前兩年展示了一種基于超薄的FD-UT SOI工藝。此種工藝技術(shù)的優(yōu)點(diǎn)是仍然基于傳統(tǒng)的平面型晶體管結(jié)構(gòu),不過(guò)這種工藝的SOI的硅層厚度非常薄,在5nm~6nm之間,這樣便于形成全耗盡(FD)結(jié)構(gòu),能夠顯著減小短溝道效應(yīng)(SCE)的影響。

            盡管英特爾與IBM雙方采用的工藝技術(shù)路線不盡相同,然而市場(chǎng)經(jīng)濟(jì)是公平的,雙方都會(huì)各展所長(zhǎng),根據(jù)市場(chǎng)需求做出權(quán)衡。

          晶體管相關(guān)文章:晶體管工作原理


          晶體管相關(guān)文章:晶體管原理
          漏電開(kāi)關(guān)相關(guān)文章:漏電開(kāi)關(guān)原理


          關(guān)鍵詞: 英特爾 14納米

          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();