<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          關(guān) 閉

          新聞中心

          EEPW首頁 > 工控自動化 > 設(shè)計(jì)應(yīng)用 > 無刷直流電機(jī)驅(qū)動控制器的S0PC技術(shù)研究

          無刷直流電機(jī)驅(qū)動控制器的S0PC技術(shù)研究

          作者: 時間:2010-08-06 來源:網(wǎng)絡(luò) 收藏
          2 的整體設(shè)計(jì)
          隨著現(xiàn)代的進(jìn)步,在快速性、實(shí)時性和準(zhǔn)確性方面提出了更高的要求,使得高性能的FPGA在開發(fā)領(lǐng)域有了廣闊的應(yīng)用前景。系統(tǒng)使用FPGA XC3S1500完成無刷控制系統(tǒng),采用轉(zhuǎn)速、電流雙閉環(huán)控制策略調(diào)節(jié)速度。圖3為無刷控制器硬件邏輯設(shè)計(jì)結(jié)構(gòu)圖,其中各模塊均采用硬件邏輯設(shè)計(jì)完成,模塊之間使用串行連接,霍爾信號Sa,Sb,Sc經(jīng)過換相控制模塊輸出6路開關(guān)管信號,同時經(jīng)過位置與速度檢測模塊計(jì)算得到速度反饋轉(zhuǎn)速n并與速度給定Speed_Ref一起經(jīng)過速度調(diào)節(jié)器調(diào)節(jié)得到電流環(huán)給定Uspeed-Ret,控制A/D轉(zhuǎn)換輸出A相和B相電流經(jīng)過電流檢測模塊輸出母線電流Idc并與速度調(diào)節(jié)器輸出值Uspeed_Ref一起經(jīng)過電流調(diào)節(jié)器輸出占空比信號Comp用以調(diào)節(jié)PWM波的寬度,達(dá)到調(diào)速的目韻。以數(shù)字電路的方式實(shí)現(xiàn)無刷電機(jī)的控制,使得系統(tǒng)的穩(wěn)定性得到了很大的提高。

          3 控制器模塊設(shè)計(jì)
          3.1 高精度PWM發(fā)生器

          采用自然采樣法產(chǎn)生PWM波形,其結(jié)構(gòu)圖如圖4所示,因?yàn)樽匀徊蓸臃ㄗ钅苷鎸?shí)反映PWM的控制思想,效果最好。該發(fā)生器采用現(xiàn)場可編程門陣列實(shí)現(xiàn),具有接口簡單、響應(yīng)速度快、可現(xiàn)場編程等優(yōu)點(diǎn),能夠應(yīng)用于全數(shù)字化控制。載波發(fā)生器采用了鋸齒波作為PWM載波,從電路的角度來講設(shè)計(jì)鋸齒波發(fā)生器會比設(shè)計(jì)三角波發(fā)生器使用更少的組合邏輯資源,有利于時序約束到較高的頻率;比較模塊不同于普通的純組合邏輯比較器,通過例化:FPGA的底層子元件,在該比較器內(nèi)部插入了一級D觸發(fā)器,大大減少了由于數(shù)據(jù)位寬增加而增加組合邏輯延遲;PWM波周期設(shè)定模塊和分頻模塊能夠根據(jù)需要共同改變PWM的頻率,且分頻模塊能夠解決高位寬下過長的進(jìn)位鏈帶來的延遲問題。

          本文引用地址:http://www.ex-cimer.com/article/162957.htm


          3.2 換相控制模塊
          換相控制模塊根據(jù)三相霍爾信號的狀態(tài)(見圖1),以組合邏輯的形式輸出六路開關(guān)信號Th1~Th6(設(shè)計(jì)中開關(guān)管為低導(dǎo)通),開關(guān)管Th1,Th3,Th5接收來自PWM波發(fā)生器輸出的PWM波,即系統(tǒng)采用半橋調(diào)制方式,其時序仿真波形如圖5所示,圖中1~6表示了一個霍爾信號周期內(nèi)開關(guān)管的6個狀態(tài)(與圖1對應(yīng))。


          3.3 速度調(diào)節(jié)器和電流調(diào)節(jié)器
          速度環(huán)和電流環(huán)均采用增量式PI調(diào)節(jié)算法,用FPGA實(shí)現(xiàn)PI調(diào)節(jié)器,即用數(shù)字電路來實(shí)現(xiàn)PI控制算法,應(yīng)用此硬件算法提高了控制器的可靠性和實(shí)時性,同時基本消除計(jì)算機(jī)給控制系統(tǒng)帶來的影響。PI算法的硬件邏輯結(jié)構(gòu)如圖6所示。


          PI算法公式如下:

          式中:k為采樣序號,k=O,1,2,…;u(k)為第k次采樣時刻的輸出值;u(k-1)為第(k-1)次采樣時刻的輸出值;e(k)為第k次采樣時刻輸入的偏差值;r(k)為系統(tǒng)給定;c(k)為系統(tǒng)反饋輸入;KP為比列系數(shù);KI為積分系數(shù)。
          設(shè)計(jì)中的Clk為時鐘信號,Ref為給定信號,F(xiàn)db為反饋信號,PI_Result為PI調(diào)節(jié)器輸出,為了與AD反饋結(jié)果匹配,均采用13位有符號數(shù)表示,KP和KI為PI參數(shù),模塊中的整體運(yùn)算均采用先對數(shù)據(jù)符號進(jìn)行判斷,然后再進(jìn)行普通的計(jì)算,運(yùn)算結(jié)果的符號由以前得出的數(shù)據(jù)符號確定。時序控制子模塊用來控制調(diào)節(jié)器中其他模塊的運(yùn)算順序;求偏差模塊負(fù)責(zé)給定信號與反饋信號求差,將結(jié)果輸出給比例模塊和積分模塊;比例模塊實(shí)現(xiàn)比例系數(shù)與本次偏差和上次偏差之間差的乘積,積分模塊實(shí)現(xiàn)積分系數(shù)與本次偏差的乘積;求和模塊在上述模塊輸出有效時計(jì)算出輸出的偏差量,此偏差量和上次的輸出值求和得到本次調(diào)節(jié)的結(jié)果并輸出。PI調(diào)節(jié)算法被例化于速度調(diào)節(jié)器和電流調(diào)節(jié)器中。輸出結(jié)果限值也在模塊中設(shè)置,若輸出值大于等于系統(tǒng)的限幅值,則調(diào)節(jié)器以限幅值作為本次的輸出值。
          3.4 電流檢測模塊
          電流反饋檢測模塊包括電流采樣、濾波模塊和多路選擇器,硬件邏輯結(jié)構(gòu)圖如圖7所示。電流采樣采用ADI公司的AD7862AR-2,AD7862是高速的12位并行AD芯片,最高采樣頻率為250 KSPS,內(nèi)部參考電壓為+2.5 V,工作電壓為+5 V,有A和B兩個通道,每個通道又有兩個輸入端(VA1,VA2與VB1,VB2),兩個輸入端可以同時進(jìn)行轉(zhuǎn)換,系統(tǒng)使用A通道的兩個輸入端分別對A相和B相電流進(jìn)行采樣。AD控制器輸出信號Ia_Fin和Ib_Fin分別為A相和B相電流,經(jīng)過濾波電路輸出給多路選擇器,多路選擇器根據(jù)開關(guān)管狀態(tài)判斷此刻的母線電流是A相電流還是B相電流以及電流的正負(fù)關(guān)系,從而能夠準(zhǔn)確采樣瞬時電流,提高控制精度。


          根據(jù)AD7862采樣時序圖,AD控制器控制AD芯片工作,可以通過AD控制器調(diào)節(jié)AD的采樣頻率,最大到250KSPS,本模塊采樣頻率設(shè)置100 KSPS進(jìn)行驗(yàn)證。其仿真波形符合AD7862的采樣時序圖,并通過了測試。圖8為AD控制器的時序仿真波形。


          霍爾傳感器相關(guān)文章:霍爾傳感器工作原理


          霍爾傳感器相關(guān)文章:霍爾傳感器原理


          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();