<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > EDA/PCB > 設(shè)計(jì)應(yīng)用 > 學(xué)習(xí)FPGA的一些常見(jiàn)誤區(qū)解讀

          學(xué)習(xí)FPGA的一些常見(jiàn)誤區(qū)解讀

          作者: 時(shí)間:2013-10-09 來(lái)源:網(wǎng)絡(luò) 收藏

          3、本身不算什么,一切皆在之外這一點(diǎn)恐怕也是很多學(xué)的菜鳥(niǎo)最難理解的地方。

          FPGA是給誰(shuí)用的?很多學(xué)校解釋為給學(xué)微電子專(zhuān)業(yè)或者集成電路設(shè)計(jì)專(zhuān)業(yè)的學(xué)生用的,其實(shí)這不過(guò)是很多學(xué)校受資金限制,賣(mài)不起專(zhuān)業(yè)的集成電路設(shè)計(jì)工具而用FPGA工具替代而已。其實(shí)FPGA是給設(shè)計(jì)電子系統(tǒng)的工程師使用的。這些工程師通常是使用已有的芯片搭配在一起完成一個(gè)電子設(shè)備,如基站、機(jī)頂盒、視頻監(jiān)控設(shè)備等。當(dāng)現(xiàn)有芯片無(wú)法滿足系統(tǒng)的需求時(shí),就需要用FPGA來(lái)快速的定義一個(gè)能用的芯片。

          FPGA

          前面說(shuō)了,F(xiàn)PGA里面無(wú)非就是一些“真值表”、觸發(fā)器、各種連線以及一些硬件資源,電子系統(tǒng)工程師使用FPGA進(jìn)行設(shè)計(jì)時(shí)無(wú)非就是考慮如何將這些以后資源組合起來(lái)實(shí)現(xiàn)一定的邏輯功能而已,而不必像IC設(shè)計(jì)工程師那樣一直要關(guān)注到最后芯片是不是能夠被制造出來(lái)。本質(zhì)上和利用現(xiàn)有芯片組合成不同的電子系統(tǒng)沒(méi)有區(qū)別,只是需要關(guān)注更底層的資源而已。要想把FPGA用起來(lái)還是簡(jiǎn)單的,因?yàn)闊o(wú)非就是那些資源,在理解了前面兩點(diǎn)再搞個(gè)實(shí)驗(yàn)板,跑跑實(shí)驗(yàn),做點(diǎn)簡(jiǎn)單的東西是可以的。而真正要把FPGA用好,那光懂點(diǎn)FPGA知識(shí)就遠(yuǎn)遠(yuǎn)不夠了。因?yàn)樽罱K要讓FPGA里面的資源如何組合,實(shí)現(xiàn)何種功能才能滿足系統(tǒng)的需要,那就需要懂得更多更廣泛的知識(shí)。

          目前FPGA的應(yīng)用主要是三個(gè)方向:

          第一個(gè)方向,也是傳統(tǒng)方向主要用于通信設(shè)備的高速接口電路設(shè)計(jì),這一方向主要是用FPGA處理高速接口的協(xié)議,并完成高速的數(shù)據(jù)收發(fā)和交換。這類(lèi) 應(yīng)用通常要求采用具備高速收發(fā)接口的FPGA,同時(shí)要求設(shè)計(jì)者懂得高速接口電路設(shè)計(jì)和高速數(shù)字電路板級(jí)設(shè)計(jì),具備EMCEMI設(shè)計(jì)知識(shí),以及較好的模擬電路基礎(chǔ),需要解決在高速收發(fā)過(guò)程中產(chǎn)生的信號(hào)完整性問(wèn)題。FPGA最初以及到目前最廣的應(yīng)用就是在通信領(lǐng)域,一方面通信領(lǐng)域需要高速的通信協(xié)議處理方式, 另一方面通信協(xié)議隨時(shí)在修改,非常不適合做成專(zhuān)門(mén)的芯片。因此能夠靈活改變功能的FPGA就成為首選。到目前為止FPGA的一半以上的應(yīng)用也是在通信行業(yè)。

          第二個(gè)方向,可以稱為數(shù)字信號(hào)處理方向或者數(shù)學(xué)計(jì)算方向,因?yàn)楹艽蟪潭壬线@一方向已經(jīng)大大超出了信號(hào)處理的范疇。例如早就在2006年就聽(tīng)說(shuō)老美將 FPGA用于金融數(shù)據(jù)分析,后來(lái)又見(jiàn)到有將FPGA用于醫(yī)學(xué)數(shù)據(jù)分析的案例。在這一方向要求FPGA設(shè)計(jì)者有一定的數(shù)學(xué)功底,能夠理解并改進(jìn)較為復(fù)雜的數(shù)學(xué)算法,并利用FPGA內(nèi)部的各種資源使之能夠變?yōu)閷?shí)際的運(yùn)算電路。目前真正投入實(shí)用的還是在通信領(lǐng)域的無(wú)線信號(hào)處理、信道編解碼以及圖像信號(hào)處理等領(lǐng)域,其它領(lǐng)域的研究正在開(kāi)展中,之所以沒(méi)有大量實(shí)用的主要原因還是因?yàn)閷W(xué)金融的、學(xué)醫(yī)學(xué)的不了解這玩意。不過(guò)最近發(fā)現(xiàn)歐美有很多電子工程、計(jì)算機(jī)類(lèi)的博士轉(zhuǎn)入到金融行業(yè),開(kāi)展金融信號(hào)處理,相信隨著轉(zhuǎn)入的人增加,F(xiàn)PGA在其它領(lǐng)域的數(shù)學(xué)計(jì)算功能會(huì)更好的發(fā)揮出來(lái),而我也有意做一些這些方面的研究。不過(guò)國(guó) 內(nèi)學(xué)金融的、學(xué)醫(yī)的恐怕連數(shù)學(xué)都很少用到,就不用說(shuō)用FPGA來(lái)幫助他們完成數(shù)學(xué)運(yùn)算了,這個(gè)問(wèn)題只有再議了。

          第三個(gè)方向就是所謂的SOPC方向,其實(shí) 嚴(yán)格意義上來(lái)說(shuō)這個(gè)已經(jīng)在FPGA設(shè)計(jì)的范疇之內(nèi),只不過(guò)是利用FPGA這個(gè)平臺(tái)搭建的一個(gè)嵌入式系統(tǒng)的底層硬件環(huán)境,然后設(shè)計(jì)者主要是在上面進(jìn)行嵌入式軟件開(kāi)發(fā)而已。設(shè)計(jì)對(duì)于FPGA本身的設(shè)計(jì)時(shí)相當(dāng)少的。但如果涉及到需要在FPGA做專(zhuān)門(mén)的算法加速,實(shí)際上需要用到第二個(gè)方向的知識(shí),而如果需要設(shè)計(jì)專(zhuān)用的接口電路則需要用到第一個(gè)方向的知識(shí)。就目前SOPC方向發(fā)展其實(shí)遠(yuǎn)不如第一和第二個(gè)方向,其主要原因是因?yàn)镾OPC以FPGA為主,或者是在 FPGA內(nèi)部的資源實(shí)現(xiàn)一個(gè)“軟”的處理器,或者是在FPGA內(nèi)部嵌入一個(gè)處理器核。但大多數(shù)的嵌入式設(shè)計(jì)卻是以軟件為核心,以現(xiàn)有的硬件發(fā)展情況來(lái)看, 多數(shù)情況下的接口都已經(jīng)標(biāo)準(zhǔn)化,并不需要那么大的FPGA邏輯資源去設(shè)計(jì)太過(guò)復(fù)雜的接口。而且就目前看來(lái)SOPC相關(guān)的開(kāi)發(fā)工具還非常的不完善,以 ARM 為代表的各類(lèi)嵌入式處理器開(kāi)發(fā)工具卻早已深入人心,大多數(shù)以ARM為核心的SOC芯片提供了大多數(shù)標(biāo)準(zhǔn)的接口,大量成系列的單片機(jī)嵌入式處理器提供了相關(guān)行業(yè)所需要的硬件加速電路,需要專(zhuān)門(mén)定制硬件場(chǎng)合確實(shí)很少。通常是在一些特種行業(yè)才會(huì)在這方面有非常迫切的需求。即使目前Xilinx將ARM的硬核加入 到FPGA里面,相信目前的情況不會(huì)有太大改觀,不要忘了很多老掉牙的8位單片機(jī)還在嵌入式領(lǐng)域混呢,嵌入式主要不是靠硬件的差異而更多的是靠軟件的差異來(lái)體現(xiàn)價(jià)值的。

          我曾經(jīng)看好的是cypress的Psoc這一想法。和SOPC系列不同,Psoc的思想史載SOC芯片里面去嵌入那么一小塊 FPGA,那這 樣其實(shí)可以滿足嵌入式的那些微小的硬件接口差異,比如某個(gè)運(yùn)用需要4個(gè)USB,而通常的處理器不會(huì)提供那么多,就可以用這么一塊FPGA來(lái)提供多的USB 接口。而另一種運(yùn)用需要6個(gè)UART,也可以用同樣的方法完成。對(duì)于嵌入式設(shè)計(jì)公司來(lái)說(shuō)他們只需要備貨一種芯片,就可以滿足這些設(shè)計(jì)中各種微小的差異變化 要的差異化仍然是通過(guò)軟件來(lái)完成。但目前cypress過(guò)于封閉,如果其采用ARM作為處理器內(nèi)核,借助其完整的工具鏈。同時(shí)開(kāi)放IP合作,讓大量的第三方為它提供IP設(shè)計(jì),其實(shí)是很有希望的。但目前cypress的日子怕不太好過(guò),Psoc的思想也不知道何時(shí)能夠發(fā)光。

          4、數(shù)字邏輯知識(shí)是根本。

          無(wú)論是FPGA的哪個(gè)方向,都離不開(kāi)數(shù)字邏輯知識(shí)的支撐。FPGA說(shuō)白了是一種實(shí)現(xiàn)數(shù)字邏輯的方式而已。如果連最基本的數(shù)字邏輯的知識(shí)都有問(wèn)題,學(xué)習(xí) FPGA的愿望只是空中樓閣而已。而這,恰恰是很多菜鳥(niǎo)最不愿意去面對(duì)的問(wèn)題。數(shù)字邏輯是任何電子電氣類(lèi)專(zhuān)業(yè)的專(zhuān)業(yè)基礎(chǔ)知識(shí),也是必須要學(xué)好的一門(mén)課。很多人無(wú)非是學(xué)習(xí)了,考個(gè)試,完了。如果不能將數(shù)字邏輯知識(shí)爛熟于心,養(yǎng)成良好的設(shè)計(jì)習(xí)慣,學(xué)FPGA到最后仍然是霧里看花水中望月,始終是一場(chǎng)空的。

          以上四條只是我目前總結(jié)菜鳥(niǎo)們?cè)趯W(xué)習(xí)FPGA時(shí)所最容易跑偏的地方,F(xiàn)PGA的學(xué)習(xí)其實(shí)就像學(xué)習(xí)圍棋一樣,學(xué)會(huì)如何在棋盤(pán)上落子很容易,成為一位高手卻是難上 加難。要真成為李昌鎬那樣的神一般的選手,除了靠刻苦專(zhuān)研,恐怕還確實(shí)得要一點(diǎn)天賦。


          上一頁(yè) 1 2 下一頁(yè)

          關(guān)鍵詞: FPGA

          評(píng)論


          相關(guān)推薦

          技術(shù)專(zhuān)區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();