<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > EDA/PCB > 設(shè)計應(yīng)用 > 基于IP核的FPGA 設(shè)計方法

          基于IP核的FPGA 設(shè)計方法

          作者: 時間:2012-11-01 來源:網(wǎng)絡(luò) 收藏

          幾年前設(shè)計專用集成電路(ASIC) 還是少數(shù)集成電路設(shè)計工程師的事, 隨著硅的集成度不斷提高,百萬門的ASIC 已不難實現(xiàn), 系統(tǒng)制造公司的設(shè)計人員正越來越多地采用ASIC 技術(shù)集成系統(tǒng)級功能(System L evel In tegrete - SL I) , 或稱片上系統(tǒng)(System on a ch ip ) , 但ASIC 設(shè)計能力跟不上制造能力的矛盾也日益突出。現(xiàn)在設(shè)計人員已不必全部用邏輯門去設(shè)計ASIC, 類似于用集成電路( IC) 芯片在印制板上的設(shè)計,ASIC 設(shè)計人員可以應(yīng)用等效于印制板上IC 芯片的功能模塊, 稱為核(core)、或知識產(chǎn)權(quán)( IP) 宏單元進行系統(tǒng)設(shè)計, 這就是基于核的。CPU、存儲器、總線控制器、接口電路、DSP 等都可成為核。但是ASIC 設(shè)計與印制板(PCB) 設(shè)計有很大區(qū)別,ASIC 必須用EDA 工具進行硬件設(shè)計, 主要問題都是通過計算機仿真解決, 而不能象印制板設(shè)計那樣通過實驗調(diào)試解決, 另外ASIC 的制造還需要數(shù)量可觀(一般數(shù)萬美元) 的不可重復(fù)工程費用(NRE)。80年代后期出現(xiàn)的現(xiàn)場可編程門陣列( ) 和復(fù)雜可編程邏輯器件(CPLD) 是ASIC 的一種, 其優(yōu)點是在制造廠家提供的 或CPLD 芯片上, 可由設(shè)計工程師對其進行現(xiàn)場編程完成ASIC 的最后設(shè)計, 而不需昂貴的NRE 費。現(xiàn)在 的規(guī)模已達到百萬門, 如XILINX 公司的V irtex 系列, 完全可以實現(xiàn)片上系統(tǒng),其將逐步轉(zhuǎn)向核基設(shè)計。

          本文引用地址:http://www.ex-cimer.com/article/189793.htm

          1 核的分類和特點

          核是一種預(yù)定義的并經(jīng)過驗證的復(fù)雜功能模塊, 它可以集成到系統(tǒng)設(shè)計中。核基設(shè)計主要特點是可重復(fù)使用已有設(shè)計模塊, 縮短設(shè)計時間, 減少設(shè)計風險, 通過高層的集成可望提高整個系統(tǒng)的性能。在FPGA 設(shè)計中的核分為三種, 如表1所示:

          表1 核的分類和特點

          硬核

          (hard core)

          預(yù)定義的已布局布線的模塊 不能修改設(shè)計, 必須采指定實現(xiàn)技術(shù) 時序性能有保證

          固核

          (firm core) HDL 源碼,與實現(xiàn)技術(shù)有關(guān)的網(wǎng)表 部分功能可以修改, 采用指定的實現(xiàn)技術(shù) 關(guān)鍵路徑時序可控制

          軟件

          (soft core)

          行為級或RTL 級HDL源碼 可修改設(shè)計,與具體實現(xiàn)技術(shù)無關(guān) 時序性能無保證, 由使用者確定

          硬核是針對特定的實現(xiàn)技術(shù)優(yōu)化的, 它具有不能修改的結(jié)構(gòu)和布局布線, 可作為庫元件使用, 且時序性能穩(wěn)定, 但硬核不能按設(shè)計需要修改和調(diào)整時序。固核由HDL 源碼和與實現(xiàn)技術(shù)有關(guān)的網(wǎng)表組成, 使用者可按規(guī)定增減部分功能。固核的關(guān)鍵路徑時序是固定的, 但其實現(xiàn)技術(shù)不能更改, 即不同廠家FPGA 的固核不能互換使用。軟核是可綜合的硬件描述語言(HDL ) 源碼, 它與實現(xiàn)技術(shù)無關(guān), 可按使用者需要修改, 具有最大的使用靈活性, 但軟核的關(guān)鍵路徑時序性能無保證, 最終性能主要決定于使用者采用的綜合、布局布線和實現(xiàn)技術(shù)。

          在FPGA 設(shè)計中, 由于不同廠家的具體實現(xiàn)技術(shù)差別較大, 完全與硬件實現(xiàn)技術(shù)無關(guān)的軟核性能受到很大限制, 而硬核缺少使用的靈活性, 因此作為軟、硬核折中的固核使用較多。以上是具有代表性的核的分類, 在實際使用中, 某種功能的核往往以各種形式出現(xiàn), 由使用者按需要選用, 軟核也不僅只有HDL 源碼, 還包括用于功能測試的行為模型和測試向量, 用于指導(dǎo)綜合的約束文件。

          2 核基FPGA 簡介

          在核基設(shè)計中, 一個完整的設(shè)計主要由兩部分組成, 一部分是核, 如圖1中的MCU、RAM , 另一部分是用戶自己定義的邏輯電路。按系統(tǒng)設(shè)計的要求將這些功能模塊連接在一起就完成了芯片的設(shè)計,各個核或功能塊的連接目前還沒有統(tǒng)一的標準, 因不同的設(shè)計而定, 一般應(yīng)滿足一定的時序要求。作為核基設(shè)計的第一步是選擇合適的核, 這主要從核的功能、性能可靠性和實現(xiàn)技術(shù)幾方面來選擇。

          核基設(shè)計芯片示意圖

          圖1 核基設(shè)計芯片示意圖

          fpga相關(guān)文章:fpga是什么



          上一頁 1 2 3 下一頁

          關(guān)鍵詞: FPGA IP核 設(shè)計方法

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();