<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > EDA/PCB > 設(shè)計(jì)應(yīng)用 > 基于EDA的數(shù)據(jù)傳輸系統(tǒng)的HDB3編碼器

          基于EDA的數(shù)據(jù)傳輸系統(tǒng)的HDB3編碼器

          作者: 時(shí)間:2012-10-12 來源:網(wǎng)絡(luò) 收藏

          0 引言

          本文引用地址:http://www.ex-cimer.com/article/189854.htm

          數(shù)字基帶信號(hào)的傳輸是數(shù)字通信系統(tǒng)的重要組成部分。在數(shù)字通信中,有些場合可不經(jīng)過載波調(diào)制和解調(diào)過程,而對(duì)基帶信號(hào)進(jìn)行直接傳輸。采用AMI碼的信號(hào)交替反轉(zhuǎn),有可能出現(xiàn)四連零現(xiàn)象,這不利于接收端的定時(shí)信號(hào)提取。而碼因其無直流成份、低頻成份少和連0個(gè)數(shù)最多不超過三個(gè)等特點(diǎn),而對(duì)定時(shí)信號(hào)的恢復(fù)十分有利,并已成為CCITT協(xié)會(huì)推薦使用的基帶傳輸碼型之一。為此,本文利用VHDL語言對(duì)中的進(jìn)行了設(shè)計(jì)。

          1 碼的編碼規(guī)則

          HDB3碼是AMI碼的改進(jìn)型,稱為三階高密度雙極性碼,它克服了AMI碼的長連0串現(xiàn)象。

          HDB3碼的編碼規(guī)則為先檢查消息代碼(二進(jìn)制)的連0串,若沒有4個(gè)或4個(gè)以上連0串,則按照AMI碼的編碼規(guī)則對(duì)消息代碼進(jìn)行編碼;若出現(xiàn)4個(gè)或4個(gè)以上連0串,則將每4個(gè)連0小段的第4個(gè)0變換成與前一非0符號(hào)(+1或-1)同極性的V符號(hào),同時(shí)保證相鄰V符號(hào)的極性交替(即+1記為+V,-1記為-V);接著檢查相鄰V符號(hào)間非0符號(hào)的個(gè)數(shù)是否為偶數(shù),若為偶,則將當(dāng)前的V符號(hào)的前一非0符號(hào)后的第1個(gè)0變?yōu)?B或-B符號(hào),且B的極性與前一非0符號(hào)的極性相反,并使后面的非0符號(hào)從V符號(hào)開始再交替變化。

          2 HDB3的VHDL建模與程序設(shè)計(jì)

          HDB3碼的VHDL建模思想是在消息代碼的基礎(chǔ)上,依據(jù)HDB3編碼規(guī)則進(jìn)行插人“V”符號(hào)和“B”符號(hào)的操作,且用2位二進(jìn)制代碼分別表示。最后完成單極性信號(hào)變成雙極性信號(hào)的轉(zhuǎn)換。其編碼模型如圖1所示。

          2.1 插“V”模塊的實(shí)現(xiàn)

          插“V”模塊主要是對(duì)消息代碼里的四連0串的檢測,即當(dāng)出現(xiàn)四個(gè)連0串的時(shí)候,把第四個(gè)“0”變換成符號(hào)“V”,用“11”標(biāo)識(shí)。 “1”用“01”標(biāo)識(shí),“0”用“00”標(biāo)識(shí)。其模型如圖2所示,實(shí)現(xiàn)的VHDL結(jié)構(gòu)代碼如artv:


          上一頁 1 2 3 下一頁

          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();