<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > EDA/PCB > 設(shè)計應(yīng)用 > 單片機與FPGA實現(xiàn)等精度頻率測量和IDDS技術(shù)設(shè)計方

          單片機與FPGA實現(xiàn)等精度頻率測量和IDDS技術(shù)設(shè)計方

          作者: 時間:2012-06-29 來源:網(wǎng)絡(luò) 收藏

          O.引言

          本文引用地址:http://www.ex-cimer.com/article/190183.htm

          本系統(tǒng)利用有效的結(jié)合起來共同實現(xiàn)技術(shù),發(fā)揮各自的優(yōu)點,使設(shè)計變得更加容易和靈活,并具有頻率測量范圍寬、產(chǎn)生的波形頻率分辨率高及精度大等特點。

          系統(tǒng)方便靈活,測量精度和產(chǎn)生的波形分辨率高,能適應(yīng)當(dāng)代許多高精度測量和波形產(chǎn)生的要求,可以在各類測量系統(tǒng)和信號發(fā)生器中得到很好的利用,頻率測量在電路實驗、通訊設(shè)備、音頻視頻和科學(xué)研究中具有十分廣泛的用途。等精度測量技術(shù)具有廣闊的應(yīng)用前景,由于其性能的優(yōu)越性,在目前各個測量領(lǐng)域中都可以發(fā)揮著很好的作用,特別是在海洋勘探,太空探索以及各類實驗中都得到了應(yīng)用。

          1.DDS信號發(fā)生器的實現(xiàn)

          使用相結(jié)合的方式構(gòu)成DDS信號發(fā)生器的核心部分,這是一種從相位概念出發(fā)直接合成所需波形的一種新的全數(shù)字頻率合成技術(shù)。其中完成相位累加、波形地址查找及波形輸出等功能,凌陽16位實現(xiàn)頻率控制字的輸入和液晶顯示部分。FPGA與單片機通過串行輸入并行輸出的方式進行通信。其總體設(shè)計框圖如圖1所示。

          1.1 DDS產(chǎn)生原理

          圖2是一個基本的DDFS結(jié)構(gòu)框圖。DDFS 以數(shù)控振蕩器的方式,產(chǎn)生頻率可控制的正弦波、方波、三角波,電路包括了基準(zhǔn)時鐘源、相位累加器、相位調(diào)制器、波形ROM查找表、D/A轉(zhuǎn)換器和低通濾波器等。頻率控制字N和相位控制字M分別控制DDS所輸出的波形的頻率和正弦波的相位。

          1.1.1 頻率部分

          一個N位字長的二進制加法器的一端和一個固定時鐘脈沖取樣的N位相位寄存器相連,另一個輸入端是外部輸入的控制字M。這樣在每一個時鐘到來的時候,前一次相位寄存器中的值和當(dāng)前的M值相加,作為當(dāng)前相位寄存器的輸出??刂谱諱決定了相位增量,加法器不斷的對相位增量進行線性累加。當(dāng)產(chǎn)生一次溢出后,完成一個周期性動作,即DDFS合成信號的一個頻率周期。

          設(shè)基準(zhǔn)時鐘信號為fclk,分頻值為N,累加器位數(shù)為M,相位累加器步進值為L,根據(jù)公式:

          設(shè)最高頻率為20KHz,步進為20Hz,因此累加器位數(shù)至少為10位(210=1024>20000/20)。為了保證在最高頻率下的波形在一個周期內(nèi)至少有32個點,因此累加器至少有l(wèi)O+5=15位。

          取晶振頻率32.768MHz,可得


          上一頁 1 2 3 4 下一頁

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();