<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > EDA/PCB > 設(shè)計(jì)應(yīng)用 > 基于FPGA的智能全數(shù)字鎖相環(huán)的設(shè)計(jì)

          基于FPGA的智能全數(shù)字鎖相環(huán)的設(shè)計(jì)

          作者: 時(shí)間:2012-06-21 來(lái)源:網(wǎng)絡(luò) 收藏

          在鎖定狀態(tài)如圖3,fout與fin具有穩(wěn)定的相位關(guān)系, fout對(duì)fin抽樣應(yīng)全部為0或1,這樣不會(huì)激發(fā)振蕩器振蕩,從而lock將輸出低電平;而失鎖狀態(tài)時(shí)如圖4,fout與fin出現(xiàn)相位之間的滑動(dòng),抽樣時(shí)就不會(huì)出現(xiàn)長(zhǎng)時(shí)間的0或1,單穩(wěn)態(tài)振蕩器振蕩,使lock輸出高電平。的鎖定狀態(tài)保持時(shí)間的認(rèn)定,可以通過(guò)設(shè)置振蕩器的性能。在設(shè)計(jì)中,要采用片外元件來(lái)進(jìn)行單穩(wěn)定時(shí),是很麻煩的,而且也不利于集成和代碼移植。單穩(wěn)態(tài)振蕩器的實(shí)現(xiàn)也可以在內(nèi)實(shí)現(xiàn),利用計(jì)數(shù)器的方法可以設(shè)計(jì)化的上升、下降沿雙向觸發(fā)的可重觸發(fā)單穩(wěn)態(tài)振蕩器。

          4 智能的設(shè)計(jì)

          智能的設(shè)計(jì)如圖5所示。與CPU接口電路,由寄存器來(lái)完成。對(duì)于CPU寄存器內(nèi)容分為兩部分:的工作狀態(tài)(只讀),k計(jì)數(shù)器的參數(shù)值(讀/寫)。CPU可以通過(guò)外部總線讀寫寄存器的內(nèi)容。

          圖5 智能鎖相環(huán)框圖

          CPU根據(jù)鎖相環(huán)狀態(tài)就可以對(duì)鎖相環(huán)K計(jì)數(shù)器進(jìn)行最優(yōu)設(shè)置。實(shí)際測(cè)試時(shí)設(shè)置K初始值為23,此時(shí)鎖相環(huán)的捕捉帶較大,在很短時(shí)間內(nèi)就可以達(dá)到鎖定狀態(tài),lock變?yōu)榈碗娖?。CPU檢測(cè)到此信號(hào)后自動(dòng)將K值加1,如lock仍然為低電平,CPU會(huì)繼續(xù)增加K 值;直到鎖相環(huán)失鎖,記住其最佳設(shè)置值。設(shè)置K為初始值,鎖定后,設(shè)置到最佳值,這樣鎖相會(huì)快速進(jìn)入最佳的鎖定狀態(tài)。

          關(guān)于CPU的選擇有三種方案:①片內(nèi)實(shí)現(xiàn)CPU。片上系統(tǒng)的發(fā)展使其成為可能。②與片外系統(tǒng)共用CPU。DPLL大多用于通信系統(tǒng)中,而大部分通信系統(tǒng)都有嵌入式CPU。③單獨(dú)采用一個(gè)廉價(jià)單片機(jī)(如89C51),不僅可用于智能鎖相環(huán)的控制,還可控制外部RAM實(shí)現(xiàn)FPGA的初始裝載,一機(jī)多用,經(jīng)濟(jì)實(shí)惠。可以視具體情況而定。

          5 結(jié)論

          智能全數(shù)字鎖相環(huán),在單片F(xiàn)PGA中就可以實(shí)現(xiàn),借助鎖相環(huán)狀態(tài)監(jiān)測(cè)電路,通過(guò)CPU可以縮短鎖相環(huán)鎖定時(shí)間,并逐漸改進(jìn)其輸出頻率的抖動(dòng)特性。解決了鎖定時(shí)間與相位抖動(dòng)之間的矛盾,對(duì)信息的傳輸質(zhì)量都有很大的提高。此鎖相環(huán)已用于我校研發(fā)的數(shù)字通信產(chǎn)品中。

          fpga相關(guān)文章:fpga是什么


          數(shù)字通信相關(guān)文章:數(shù)字通信原理


          塵埃粒子計(jì)數(shù)器相關(guān)文章:塵埃粒子計(jì)數(shù)器原理
          鑒相器相關(guān)文章:鑒相器原理
          數(shù)字濾波器相關(guān)文章:數(shù)字濾波器原理
          鎖相放大器相關(guān)文章:鎖相放大器原理

          上一頁(yè) 1 2 下一頁(yè)

          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();