<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > EDA/PCB > 設(shè)計(jì)應(yīng)用 > Protel DXP 指導(dǎo)教程 (三)

          Protel DXP 指導(dǎo)教程 (三)

          作者: 時間:2012-06-08 來源:網(wǎng)絡(luò) 收藏

          網(wǎng)絡(luò)與網(wǎng)絡(luò)標(biāo)簽

          本文引用地址:http://www.ex-cimer.com/article/190278.htm

          彼此連接在一起的一組元件引腳稱為網(wǎng)絡(luò)(net)。例如,一個網(wǎng)絡(luò)包括Q1的基極、R1的一個引腳和C1的一個引腳。

          在設(shè)計(jì)中識別重要的網(wǎng)絡(luò)是很容易的,你可以添加網(wǎng)絡(luò)標(biāo)簽( net labels )。

          在兩個電源網(wǎng)絡(luò)上放置網(wǎng)絡(luò)標(biāo)簽:

          1、從菜單選擇 Place > Net Label 。 一個虛線框?qū)腋≡诠鈽?biāo)上。

          2、在放置網(wǎng)絡(luò)標(biāo)簽之前應(yīng)先編輯,按 TAB 鍵顯示 Net Label ( 網(wǎng)絡(luò)標(biāo)簽 ) 對話框。

          3、在 Net 欄鍵入12V,然后點(diǎn)擊 OK 關(guān)閉對話框。

          4、將該網(wǎng)絡(luò)標(biāo)簽放在原理上,使該網(wǎng)絡(luò)標(biāo)簽的左下角與最上邊的導(dǎo)線靠在一起。

          5、放完第一個網(wǎng)絡(luò)標(biāo)簽后,你仍然處于網(wǎng)絡(luò)標(biāo)簽放置模式,在放第二個網(wǎng)絡(luò)標(biāo)簽之前再按 TAB 鍵進(jìn)行編輯。

          6、在 Net 欄鍵入GND,點(diǎn)擊 OK 關(guān)閉對話框并放置網(wǎng)絡(luò)標(biāo)簽。

          7、選擇 File > Save ( 熱鍵F,S )保存電路。

          祝賀你!你已經(jīng)用 完成了你的第一張?jiān)韴D。

          在我們將原理圖轉(zhuǎn)為電路板之前,讓我們進(jìn)行項(xiàng)目選項(xiàng)設(shè)置。

          設(shè)置項(xiàng)目選項(xiàng)

          項(xiàng)目選項(xiàng)包括錯誤檢查規(guī)則、連接矩陣、比較設(shè)置、ECO啟動、輸出路徑和網(wǎng)絡(luò)選項(xiàng)以及你想指定任何項(xiàng)目規(guī)則。在你編輯項(xiàng)目時 將使用這些設(shè)置。

          當(dāng)項(xiàng)目被編輯時,詳盡的設(shè)計(jì)和電氣規(guī)則將應(yīng)用于驗(yàn)證設(shè)計(jì)。當(dāng)所有錯誤被解決后,原理圖設(shè)計(jì)的再編輯將被啟動的ECO加載到目標(biāo)文件,例如一個PCB文件。項(xiàng)目比較允許你找出源文件和目標(biāo)文件之間的差別,并在相互之間進(jìn)行更新(同步)。

          所有與項(xiàng)目有關(guān)的操作,如錯誤檢查、比較文件和ECO啟動均在 Options for Project 對話框中設(shè)置 ( Project > Project Options )。

          所有項(xiàng)目輸出,如網(wǎng)絡(luò)表、仿真器、文件的提供(打?。?、集合和制造輸出及報告在 Outputs for Project 對話框中設(shè)置( Project > Output Jobs )。 參見 設(shè)置項(xiàng)目輸出 以獲得更多信息。

          1、選擇 Project ? Project Options , Options for Project 對話框出現(xiàn)。

          所有與項(xiàng)目有關(guān)的選均通過這個對話框來設(shè)置。

          檢查原理圖的電氣參數(shù)

          中原理圖是不僅僅只是繪圖--原理圖還包含關(guān)于電路的連接信息。你可以使用連接檢查器來驗(yàn)證你的設(shè)計(jì)。當(dāng)你編輯項(xiàng)目時,DXP將根據(jù)在 Error Reporting 和 Connection Matrix 標(biāo)簽中的設(shè)置來檢查錯誤,如果有錯誤發(fā)生則會顯示在 Messages 面板。

          設(shè)置錯誤報告

          在 Options for Project 對話框中的 Error Reporting 標(biāo)簽用于設(shè)置設(shè)計(jì)草圖檢查。報告模式 ( Report Mode ) 表明違反規(guī)則的嚴(yán)格程度。如果你要修改 Report Mode , 點(diǎn)擊你要修改的違反規(guī)則旁的 Report Mode , 并從下拉列表中選擇嚴(yán)格程度。在本中我們使用默認(rèn)設(shè)置。

          設(shè)置連接矩陣

          連接矩陣標(biāo)簽( Options for Project 對話框 ) 顯示的是錯誤類型的嚴(yán)格性,這將在設(shè)計(jì)中運(yùn)行錯誤報告檢查電氣連接產(chǎn)生,如引腳間的連接、元件和圖紙輸入。這個矩陣給出了一個在原理圖中不同類型的連接點(diǎn)以及是否被允許的圖表描述。

          例如,在矩陣圖的右邊找到 Output Pin , 從這一行找到 Open Collector Pin 列。在它的相交處是一個橙色的方塊,這而這個表示在原理中從一個 Output Pin 連接到一個 Open Collector Pin 的顏色將在項(xiàng)目被編輯時啟動一個錯誤條件。

          你可以用不同的錯誤程度來設(shè)置每一個錯誤類型,例如對一些致命的錯誤不予報告。


          上一頁 1 2 3 4 下一頁

          關(guān)鍵詞: Protel DXP 教程

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();