<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > EDA/PCB > 設計應用 > 基于SPIFlash實現(xiàn)FPGA的復用配置

          基于SPIFlash實現(xiàn)FPGA的復用配置

          作者: 時間:2012-02-03 來源:網(wǎng)絡 收藏

          SPI(SerialPeripheralInterface,串行外圍設備接口)是一種高速、全雙工、同步的通信總線,在芯片的引腳上只占用4根線,不僅節(jié)約了芯片的引腳,同時在PCB的布局上還節(jié)省空間。正是出于這種簡單、易用的特性,現(xiàn)在越來越多的芯片集成了這種通信協(xié)議。

          本文引用地址:http://www.ex-cimer.com/article/190798.htm

          1SPI配置介紹

          1.1Spantan-3ESPI配置流程

          SPI方式是通過符合SPI接口時序的第三方進行加載。它適合作為硬件結構的bit文件保存介質,如果應用軟件工程編譯后的代碼較小,保存在同一片SPIFLash中(即復用)無疑是可行的最廉價方案。

          由于本沒計軟件工程規(guī)模較小,所以利用此復用方式對進行配置,既保存FPGA配置的bit文件,也保存應用軟件工程的bit文件。系統(tǒng)在上電或向PROG_B引腳發(fā)出低脈沖后,F(xiàn)PGA芯片經(jīng)過一個初始化序列清空內部FPGA配置存儲器。此序列開始時,DONE和INIT_B引腳均轉為低。初始化完成后,INIT_B引腳轉為高,并采樣芯片的配置模式及變量選擇引腳。

          SPI模式下,F(xiàn)PGA對變量選擇(VS[2:0])引腳采樣,以確定發(fā)出哪個SPI命令序列。當初始化之后發(fā)出INIT_B信號時,模式引腳和變量選擇引腳都必須處在正確的邏輯級,以確保正確采樣。

          在變量選擇引腳選擇SPI命令集之后,F(xiàn)PGA將CSO_B選擇信號置為低,并且開始通過FPGA的CCLK引腳對SPIFlash存儲器進行時鐘控制。接著發(fā)出8位讀命令后跟24位起始地址0x000000和目標命令集的適量虛擬字節(jié)。FPGA從地址0開始讀取SPIFlash存儲器陣列,直到讀完所需的配置位數(shù)。如果從存儲器件讀取到有效比特流,則發(fā)DONE信號,以指示FPGA配置成功。圖1為SPI配置方式的時序。

          35.jpg

          圖2是AT45DB161DSPIFlash的配置接口。這種配置方式只占用了FPGA芯片的4個引腳,而且配置成功之后,所有SPI引腳都成為可用的用戶I/O引腳,這就節(jié)省了FPGA的引腳資源。

          36.jpg
          1.2SPIFlash存儲器的復用

          復用SPIFlash是指既用它來保存硬件配置文件、Bootloader引導程序還用來保存用戶應用程序。在加載階段,F(xiàn)PGA自動從SPIFlash中讀取硬件配置bit文件及Bootloader程序進行配置到片內BRAM中運行。當完成加載后,F(xiàn)PGA內部邏輯啟動,通過運行的Bootloader程序讀取SPIFlash中的用戶應用程序,并寫到外部SDRAM的相應位置,最后Bootloader程序切換指令指針到SDRAM指定位置,在外部的SDRAM中開始執(zhí)行應用程序。

          圖3給出了本系統(tǒng)中復用SPIFlash嵌入式系統(tǒng)結構圖,用EDK中的opb_sdram連接外部SDRAM,用opb_spi連接SPIFlash(AT45DB161D),通過Bootloader軟件程序實現(xiàn)從SPIFlash中復制用戶應用程序到SDRAM中,然后在SDRAM中運行。但是,Boot-loader在系統(tǒng)上電時會通過FP-GA芯片的配置引腳首先加載到BRAM中運行,這樣就可以實現(xiàn)上電自動加載啟動程序。


          2Bootloader引導程序的設計

          在工程代碼編寫之前要求對硬件器件有所了解,主要需要了解FPGA所需要的配置文件空間,還有Flash存儲結構。例如:XC3S500E配置文件空間為2270208位,所以要根據(jù)它計算存儲應用程序的基地址。AT45DB161D是串行接口的閃存芯片,它包含有17301504位,被組織為4096頁,每頁512/528字節(jié)。除了主存儲器,AT45DB161D還包括2個SRAM數(shù)據(jù)緩沖區(qū),每個緩沖區(qū)512/528字節(jié)。在主存儲器正在編程時,緩沖區(qū)是允許接收數(shù)據(jù)的,并且支持數(shù)據(jù)流式寫入。(此處為528字節(jié)/頁)

          AT45DB161D的存儲器陣列分為3個級別的粒度,分別為扇區(qū)、塊與頁。圖4對各個級別進行了分析,詳細說明了每個扇區(qū)與塊的頁數(shù)。所有的編程操作都是針對頁的。擦除操作可以作用于芯片、扇區(qū)、塊或頁。

          最后利用定義的空函數(shù)int(*boot_app_jump)(void);”將地址指針指向內存的應用程序基地址,使其從此處開始運行程序。

          //將目的地址賦給跳轉函數(shù)
          boot_app_jump=(int(*)(void))DESTINATION_AD-DR;
          //運行跳轉函數(shù),使其在該函數(shù)地址開始運行程序boot_app_jump();

          3SPIFlash軟件引導過程及SPIFlash編程

          本實驗使用簡單的應用程序(打印hello_world),即工程“hello_world”。工程serial_Flash_bootloader就是上面設計的引導程序。

          3.1編譯用戶應用程序的二進制文件

          由于應用程序要在外部SDRAM中運行,所以不需要初始化BRAM存儲器,如圖5所示。

          為了指明程序的開始地址和應用程序的可執(zhí)行文件的產(chǎn)生路徑,需要在編譯選項中設置。右鍵“應用程序工程”,SetCompilerOptions在OutputELFfile中選擇可執(zhí)行文件的產(chǎn)生路徑,如XC3S500E\hello_world\hello_world.elf,在ProgramStartAddress中鍵入程序執(zhí)行的起始地址(這里是SDRAM的基地址:0x90000000)。接下來編譯應用程序工程,編譯完成后就會在XC3S500E\hello_world文件夾中產(chǎn)生hello_world.elf。為了后面對SPIFlash編程的需要,應將elf轉變成二進制形式的文件。這就需要利用cygwinshell窗口命令來完成,這個腳本提供了一個簡單的命令實現(xiàn)這個目的。利用mb-objcopy-Obinaryoptions>ELFfileinput>bi-naryfiletooutput>命令就可以將elf轉變成二進制形式的文件(.b文件)。

          例如:mb-objcopy-Obinary./helloworld/helloworld.elf./hello_world/hello_world.b用來在工程目錄下hello_world文件夾創(chuàng)建工程的一個hello_world.b的二進制文件。生成的文件hello_world.b大約2KB左右。

          3.2Bootloader引導程序與硬件配置文件的生成

          serial_Flash_bootloader要初始化到BRAM中(即在“工程”上右鍵→BRAMInitializationandunmarka11)。

          這樣做的意義是在編譯Bootloader程序時就將它編譯后的執(zhí)行文件(.elf文件)加入到硬件system.bit中生成一個download.bit。這個文件既包含了系統(tǒng)硬件配置信息,又包括了Bootloader程序執(zhí)行文件。由于設置了初始化到BRAM中,所以在系統(tǒng)上電時才能使Bootloader程序自動加載到片內BRAM中運行,實現(xiàn)程序的引導功能。只要利用EDK用軟件中downloadbitstram功能就可以實現(xiàn)上述功能。

          3.3編寫SPIPROM文件

          本部分提供為SPIFlash存儲器創(chuàng)建PROM文件的指導原則。在將生成bitstram.bit比特流轉換成SPI格式PROM文件之前,設計人員必須確認該比特流是用bitg-en-gStartupClk:Cclk選項生成的。此選項使啟動順序與Spartan-3E內部時鐘同步,從而確保FPGA功能正常。

          ①將硬件配置和serial_Flash_bootloader引導程序的bitstrem.bit轉換為MCS格式文件。
          ②將前面得到的應用程序的二進制文件(hello_world.b)轉換為MCS格式文件。
          ③將以上得到了2個MCS文件合成1個MCS文件。
          ④編程SPIFlash芯片。

          以上過程,除了③以外,Xilinx公司的iMPACT編程軟件都可以實現(xiàn)。③要由DOS命令完成。所以下面都是以DOS命令來完成編程的全過程。

          再使用DOS命令完成格式化和編程之前,要對down-load.bit、應用程序、spiPartNam和spi_offset參數(shù)進行設置,以便XSPI軟件程序能對用戶要求加以識別。如下:
          setbitstream=../implementation/download.bit
          setapplication=hello_world
          setspiPartName=AT45DB161D//SPIFlash器件的名稱
          setspi_offset=0x63000//hello_world的應用程序就會從
          //Flash中0x63000地址向上存放,引導程序也是從這里開始加載
          //到SDRAM中的

          接下來就是執(zhí)行轉換的命令。

          第1步:REMStep1.Convertdownload.bittomcsimpactconvert_bits_to_mcs.cmd

          此命令將硬件配置和serial_Flash_bootloader引導程序的bitstrem.bit轉換為MCS格式文件。

          第2步:REMStep2.Convertbinaryapplicationtomcs

          xmcsutil-accept_notice-i%application%.b-o%appli-cation%.mcs-29

          完成了應用程序(hello_world)的二進制文件(hello_world.b)轉換為MCS格式文件。

          第3步:REMStep3.combineapplicationmcswithbitstreammcs

          xmcsutil-accept_notice-ibitstream.mcs%applica-tion%.mcs-ocombined.mcs-16-segaddr0x00%spi_off-set%-usedataaddr-padff

          這個命令將以上得到了的MCS文件合成一個MCS文件。

          第4步:REMStep4.ProgramtheAT45DB161D

          xip-accept_notice-skip_syncword_check-mcs-spi_epv-icombined.mcs-overify.txt-select_cable1

          完成對SPIFlash芯片編程。此命令使用輪詢擦除SPI內容,然后對SPI器件的內容進行編程和驗證。任何驗證不匹配項都記錄在result.out文件中。默認情況下,XSPI認定數(shù)據(jù)是HEX格式。如果輸入文件是HEX格式,則去除-mcs選項。

          Xilinx軟件工具iMPACT從Spartan-3E比特流生成SPI格式PROM文件。SPI存儲器件首先串行輸出數(shù)據(jù)的MSB字節(jié),而XilinxPROM則首先輸出數(shù)據(jù)的LSB。與標準XilinxPROM文件相比,SPI格式PROM文件在每字節(jié)內有位反轉,因此,需要在PROMgen中使用-spi選項才能正確格式化。XSPI支持.hex和.mcs兩種SPIPROM文件格式。以下所示為生成SPI格式.mcs文件的PROMGen命令行操作的示例。要生成SPI格式PROM文件.hex,請用-Phex替換-pmcs選項開關。

          結語

          本文介紹的是SPIFlash存儲器的復用編程方法的實現(xiàn)。在應用程序不是很大時,可以使用此方法復用SPIFlash存儲器,減少外圍電路,但是配置時間較長。在不要求配置時間的基礎上,可以考慮使用SPI配置模式。



          關鍵詞: SPIFlash FPGA

          評論


          相關推薦

          技術專區(qū)

          關閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();