<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EDA常用軟件

          作者: 時間:2011-12-09 來源:網(wǎng)絡(luò) 收藏

          技術(shù)是在電子CAD技術(shù)基礎(chǔ)上發(fā)展起來的計算機(jī)系統(tǒng),是指以計算機(jī)為工作平臺,融合了應(yīng)用電子技術(shù)、計算機(jī)技術(shù)、信息處理及智能化技術(shù)的最新成果,進(jìn)行電子產(chǎn)品的自動設(shè)計。

          本文引用地址:http://www.ex-cimer.com/article/190924.htm

          利用工具,電子設(shè)計師可以從概念、算法、協(xié)議等開始設(shè)計電子系統(tǒng),大量工作可以通過計算機(jī)完成,并可以將電子產(chǎn)品從電路設(shè)計、性能分析到設(shè)計出IC版圖或PCB版圖的整個過程在計算機(jī)上自動處理完成。

          現(xiàn)在對的概念或范疇用得很寬。包括在機(jī)械、電子、通信、航空航天、化工、礦產(chǎn)、生物、醫(yī)學(xué)、軍事等各個領(lǐng)域,都有EDA的應(yīng)用。目前EDA 技術(shù)已在各大公司、企事業(yè)單位和科研教學(xué)部門廣泛使用。例如在飛機(jī)制造過程中,從設(shè)計、性能測試及特性分析直到飛行模擬,都可能涉及到EDA技術(shù)。本文所指的EDA技術(shù),主要針對電子電路設(shè)計、PCB設(shè)計和IC設(shè)計。EDA 設(shè)計可分為系統(tǒng)級、電路級和物理實現(xiàn)級。

          EDA常用

          EDA工具層出不窮,目前進(jìn)入我國并具有廣泛影響的EDA有:EWB、PSPICE、OrCAD、PCAD、Protel、Viewlogic、Mentor、Graphics、Synopsys、LSIlogic、Cadence、MicroSim等等。這些工具都有較強(qiáng)的功能,一般可用于幾個方面,例如很多軟件都可以進(jìn)行電路設(shè)計與仿真,同時以可以進(jìn)行PCB自動布局布線,可輸出多種網(wǎng)表文件與第三方軟件接口。下面按主要功能或主要應(yīng)用場合,分為電路設(shè)計與仿真工具、PCB設(shè)計軟件、IC設(shè)計軟件、PLD設(shè)計工具及其它EDA軟件,進(jìn)行簡單介紹。

          1、電子電路設(shè)計與仿真工具

          電子電路設(shè)計與仿真工具包括SPICE/PSPICE;EWB;Matlab;SystemView;MMICAD等。下面簡單介紹前三個軟件。

          (1)SPICE(Simulation Program with Integrated Circuit Emphasis) 是由美國加州大學(xué)推出的電路分析仿真軟件,是20世紀(jì)80年代世界上應(yīng)用最廣的電路設(shè)計軟件,1998年被定為美國國家標(biāo)準(zhǔn)。1984年,美國MicroSim公司推出了基于SPICE的微機(jī)版PSPICE(Personal—SPICE)?,F(xiàn)在用得較多的是PSPICE6.2,可以說在同類產(chǎn)品中,它是功能最為強(qiáng)大的模擬和數(shù)字電路混合仿真EDA軟件,在國內(nèi)普遍使用。最新推出了PSPICE9.1版本。它可以進(jìn)行各種各樣的電路仿真、激勵建立、溫度與噪聲分析、模擬控制、波形輸出、數(shù)據(jù)輸出、并在同一窗口內(nèi)同時顯示模擬與數(shù)字的仿真結(jié)果。無論對哪種器件哪些電路進(jìn)行仿真,都可以得到精確的仿真結(jié)果,并可以自行建立元器件及元器件庫。

          (2)EWB(Electronic Workbench)軟件 是Interactive ImageTechnologies Ltd 在20世紀(jì)90年代初推出的電路仿真軟件。目前普遍使用的是EWB5.2,相對于其它EDA軟件,它是較小巧的軟件(只有16M)。但它對模數(shù)電路的混合仿真功能卻十分強(qiáng)大,幾乎100%地仿真出真實電路的結(jié)果,并且它在桌面上提供了萬用表、示波器、信號發(fā)生器、掃頻儀、邏輯分析儀、數(shù)字信號發(fā)生器、邏輯轉(zhuǎn)換器和電壓表、電流表等儀器儀表。它的界面直觀,易學(xué)易用。它的很多功能模仿了SPICE的設(shè)計,但分析功能比PSPICE稍少一些。

          (3)MATLAB產(chǎn)品族 它們的一大特性是有眾多的面向具體應(yīng)用的工具箱和仿真塊,包含了完整的函數(shù)集用來對圖像信號處理、控制系統(tǒng)設(shè)計、神經(jīng)網(wǎng)絡(luò)等特殊應(yīng)用進(jìn)行分析和設(shè)計。它具有數(shù)據(jù)采集、報告生成和MATLAB語言編程產(chǎn)生獨立C/C++代碼等功能。MATLAB產(chǎn)品族具有下列功能:數(shù)據(jù)分析;數(shù)值和符號計算;工程與科學(xué)繪圖;控制系統(tǒng)設(shè)計;數(shù)字圖像信號處理;財務(wù)工程;建模、仿真、原型開發(fā);應(yīng)用開發(fā);圖形用戶界面設(shè)計等。MATLAB產(chǎn)品族被廣泛地應(yīng)用于信號與圖像處理、控制系統(tǒng)設(shè)計、通訊系統(tǒng)仿真等諸多領(lǐng)域。開放式的結(jié)構(gòu)使MATLAB產(chǎn)品族很容易針對特定的需求進(jìn)行擴(kuò)充,從而在不斷深化對問題的認(rèn)識同時,提高自身的競爭力。

          2、PCB設(shè)計軟件

          PCB(Printed—Circuit Board)設(shè)計軟件種類很多,如Protel; OrCAD;Viewlogic; PowerPCB; Cadence PSD;MentorGraphices的Expedition PCB;Zuken CadStart; Winboard/Windraft/Ivex-SPICE;PCB Studio; TANGO等等。目前在我國用得最多應(yīng)屬Protel,下面僅對此軟件作一介紹。

          Protel是PROTEL公司在20世紀(jì)80年代末推出的CAD工具,是PCB設(shè)計者的首選軟件。它較早在國內(nèi)使用,普及率最高,有些高校的電路專業(yè)還專門開設(shè)Protel課程,幾乎所在的電路公司都要用到它。早期的Protel主要作為印刷板自動布線工具使用,現(xiàn)在普遍使用的是Protel99SE,它是個完整的全方位電路設(shè)計系統(tǒng),包含了電原理圖繪制、模擬電路與數(shù)字電路混合信號仿真、多層印刷電路板設(shè)計(包含印刷電路板自動布局布線),可編程邏輯器件設(shè)計、圖表生成、電路表格生成、支持宏操作等功能,并具有Client/Server(客戶/服務(wù)器體系結(jié)構(gòu),同時還兼容一些其它設(shè)計軟件的文件格式,如ORCAD、PSPICE、EXCEL等。使用多層印制線路板的自動布線,可實現(xiàn)高密度PCB的100%布通率。Protel軟件功能強(qiáng)大、界面友好、使用方便,但它最具代表性的是電路設(shè)計和PCB設(shè)計。

          3、IC設(shè)計軟件

          IC設(shè)計工具很多,其中按市場所占份額排行為Cadence、Mentor Graphics和Synopsys。這三家都是ASIC設(shè)計領(lǐng)域相當(dāng)有名的軟件供應(yīng)商。其它公司的軟件相對來說使用者較少。中國華大公司也提供ASIC設(shè)計軟件(熊貓2000);另外近來出名的Avanti公司,是原來在Cadence的幾個華人工程師創(chuàng)立的,他們的設(shè)計工具可以全面和Cadence公司的工具相抗衡,非常適用于深亞微米的IC設(shè)計。下出按用途對IC設(shè)計軟件作一些介紹。
          (1)設(shè)計輸入工具 這是任何一種EDA軟件必須具備的基本功能。像Cadence的composer,viewlogic的viewdraw,硬件描述語言VHDL、Verilog HDL是主要設(shè)計語言,許多設(shè)計輸 入工具都支持HDL。另外像Active—HDL和其它的設(shè)計輸入方法,包括原理和狀態(tài)機(jī)輸入方法,設(shè)計FPGA/CPLD的工具大都可作為IC設(shè)計的輸入手段,如Xilinx、Altera等公司提供的開發(fā)工具,Modelsim FPGA等。
          (2)設(shè)計仿真工作 我們使用EDA工具的一個最大好處是可以驗證設(shè)計是否正確,幾乎每個公司的EDA 產(chǎn)品都有仿真工具。Verilog—XL、NC—verilog用于Verilog仿真,Leapfrog用于VHDL仿真,Analog Artist用于模擬電路仿真。Viewlogic的仿真器有:viewsim門級電路仿真器,speedwaveVHDL仿真器,VCS—verilog仿真器。Mentor Graphics有其子公司Model Tech 出品的VHDL和Verilog雙仿真器:Model Sim。Cadence、Synopsys用的是VSS(VHDL仿真器)?,F(xiàn)在的趨勢是各大EDA公司都逐漸用HDL仿真器作為電路驗證的工具。
          (3)綜合工具 綜合工具可以把HDL變成門級網(wǎng)表。這方面Synopsys工具占有較大的優(yōu)勢,它的Design Compile是作綜合的工業(yè)標(biāo)準(zhǔn),它還有另外一個產(chǎn)品叫Behavior Compiler,可以提供更高級的綜合。另外最近美國又出了一家軟件叫Ambit,說是比Synopsys的軟件更有效,可以綜合50萬門的電路,速度更快。今年初Ambit被Cadence公司收購,為此Cadence放棄了它原來的綜合軟件Synergy。隨著FPGA設(shè)計的規(guī)模越來越大,各EDA公司又開發(fā)了用于FPGA設(shè)計的綜合軟件,比較有名的有:Synopsys的FPGA Express,Cadence的Synplity,Mentor的Leonardo,這三家的FPGA綜合軟件占了市場的絕大部分。
          (4)布局和布線 在IC設(shè)計的布局布線工具中,Cadence軟件是比較強(qiáng)的,它有很多產(chǎn)品,用于標(biāo)準(zhǔn)單元、門陣列已可實現(xiàn)交互布線。最有名的是Cadence spectra,它原來是用于PCB布線的,后來Cadence把它用來作IC的布線。其主要工具有:Cell3,Silicon Ensemble—標(biāo)準(zhǔn)單元布線器;Gate Ensemble—門陣列布線器;Design Planner—布局工具。其它各EDA軟件開發(fā)公司也提供各自的布局布線工具。
          (5)物理驗證工具 物理驗證工具包括版圖設(shè)計工具、版圖驗證工具、版圖提取工具等等。這方面Cadence也是很強(qiáng)的,其Dracula、Virtuso、Vampire等物理工具有很多的使用者。
          (6)模擬電路仿真器 前面講的仿真器主要是針對數(shù)字電路的,對于模擬電路的仿真工具,普遍使用SPICE,這是唯一的選擇。只不過是選擇不同公司的SPICE,像MiceoSim的PSPICE、Meta Soft的HSPICE等等。HSPICE現(xiàn)在被Avanti公司收購了。在眾多的SPICE中,最好最準(zhǔn)的當(dāng)數(shù)HSPICE,作為IC設(shè)計,它的模型最多,仿真的精度也最高。

          4、PLD設(shè)計工具

          PLD(Programmable Logic Device)是一種由用戶根據(jù)需要而自行構(gòu)造邏輯功能的數(shù)字集成電路。目前主要有兩大類型:CPLD(Complex PLD)和FPGA(Field Programmable Gate Array)。它們的基本設(shè)計方法是借助于EDA軟件,用原理圖、狀態(tài)機(jī)、布爾表達(dá)式、硬件描述語言等方法,生成相應(yīng)的目標(biāo)文件,最后用編程器或下載電纜,由目標(biāo)器件實現(xiàn)。生產(chǎn)PLD的廠家很多,但最有代表性的PLD廠家為Altera、Xilinx和Lattice 公司。

          PLD的開發(fā)工具一般由器件生產(chǎn)廠家提供,但隨著器件規(guī)模的不斷增加,軟件的復(fù)雜性也隨之提高,目前由專門的軟件公司與器件生產(chǎn)廠家合作,推出功能強(qiáng)大的設(shè)計軟件。下面介紹主要器件生產(chǎn)廠家和開發(fā)工具。
          (1)ALTERA 20世紀(jì)90年代以后發(fā)展很快。主要產(chǎn)品有:MAX3000/7000、FELX6K/10K、APEX20K、ACEX1K、Stratix等。其開發(fā)工具—MAX+PLUS II是較成功的PLD開發(fā)平臺,最新又推出了Quartus II開發(fā)軟件。Altera公司提供較多形式的設(shè)計輸入手段,綁定第三方VHDL綜合工具,如:綜合軟件FPGA Express、Leonard Spectrum,仿真軟件ModelSim。
          (2)ILINX FPGA的發(fā)明者。產(chǎn)品種類較全,主要有;XC9500/4000、Coolrunner(XPLA3)、Spartan、Vertex等系列,其最大的Vertex—II Pro器件已達(dá)到800萬門。開發(fā)軟件為Foundation和ISE。通常來說,在歐洲用Xilinx的人多,在日本和亞太地區(qū)用ALTERA的人多,在美國則是平分秋色。全球PLD/FPGA產(chǎn)品60%以上是由Altera和Xilinx提供的??梢灾vAltera和Xilinx共同決定了PLD技術(shù)的發(fā)展方向。
          (3)Lattice—Vantis Lattice是ISP(In—System Programmability)技術(shù)的發(fā)明者,ISP技術(shù)極大地促進(jìn)了PLD產(chǎn)品的發(fā)展,與ALTERA和XILINX相比,其開發(fā)工具比Altera和Xilinx略遜一籌。中小規(guī)模PLD比較有特色,大規(guī)模PLD的競爭力還不夠強(qiáng)(Lattice沒有基于查找表技術(shù)的大規(guī)模FPGA),1999年推出可編程模擬器件,1999年收購Vantis(原AMD子公司),成為第三大可編程邏輯器件供應(yīng)商。2001年12月收購Agere公司(原Lucent微電子部)的FPGA部門。主要產(chǎn)品有ispLSI2000/5000/8000,MACH4/5。
          (4)ACTEL 反熔絲(一次性燒寫)PLD的領(lǐng)導(dǎo)得,由于反熔絲PLD抗輻射、耐高低溫、功耗低、速度快,所以在軍品和宇航級上有較大優(yōu)勢。ALTERA和XILINX則一般不涉足軍品和宇航級市場。
          (5)Quicklogic專業(yè)PLD/FPGA公司,以一次性反熔絲工藝為主,在中國地區(qū)銷售量不大。
          (6)Lucent 主要特點是有不少用于通訊領(lǐng)域的專用IP核,但PLD/FPGA不是Lucent的主要業(yè)務(wù),在中國地區(qū)使用的人很少。
          (7)ATMEL 中小規(guī)模PLD做得不錯。ATMEL也做了一些與Altera和Xilinx兼容的片子,但在品質(zhì)上與原廠家還是有一些差距,在高可靠性產(chǎn)品中使用較少,多用在低端產(chǎn)品上。
          (8)Clear Logic 生產(chǎn)與一些著名PLD/FPGA大公司兼容的芯片,這種芯片可將用戶的設(shè)計一次性固化,不可編程,批量生產(chǎn)時的成本較低。
          (9)WSI 生產(chǎn)PSD(單片機(jī)可編程外圍芯片)產(chǎn)品。這是一種特殊的PLD,如最新的PSD8xx、PSD9xx集成了PLD、EPROM、Flash,并支持ISP(在線編程),集成度高,主要用于配合單片機(jī)工作。

          PLD(可編程邏輯器件)是一種可以完全替代74系列及GAL、PLA的新型電路 ,只要有數(shù)字電路基礎(chǔ),會使用計算機(jī),就可以進(jìn)行PLD的開發(fā)。PLD的在線編程能力和強(qiáng)大的開發(fā)軟件,使工程師可以在幾天,甚至幾分鐘內(nèi)就可完成以往幾周才能完成的工作,并可將數(shù)百萬門的復(fù)雜設(shè)計集成在一顆 芯片內(nèi)。PLD技術(shù)在發(fā)達(dá)國家已成為電子工程師必備的技術(shù)。

          5、其它EDA軟件
          (1)VHDL語言 超高速集成電路硬件描述語言(VHSIC Hardware Deseription Languagt,簡稱VHDL),是IEEE的一項標(biāo)準(zhǔn)設(shè)計語言。它源于美國國防部提出的超高速集成電路(Very High Speed Integrated Circuit,簡稱VHSIC)計劃,是ASIC設(shè)計和PLD設(shè)計的一種主要輸入工具。
          (2)Veriolg HDL 是Verilog公司推出的硬件描述語言,在ASIC設(shè)計方面與VHDL語言平分秋色。
          (3)其它EDA軟件如專門用于微波電路設(shè)計和電力載波工具、PCB制作和工藝流程控制等領(lǐng)域的工具,在此就不作介紹了。



          關(guān)鍵詞: EDA 軟件

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();