<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > EDA/PCB > 設(shè)計(jì)應(yīng)用 > 基于FPGA的FIR濾波器的性能研究

          基于FPGA的FIR濾波器的性能研究

          作者: 時(shí)間:2011-09-20 來源:網(wǎng)絡(luò) 收藏

          1.2 基于MATLAB的數(shù)字的系數(shù)設(shè)計(jì)
          最基本的可用下式表示:
          f.JPG
          式(3)中x(n-i)是輸入采樣序列,h(i)是系數(shù),N是濾波器的階數(shù),y(n)表示濾波器的輸出序列。數(shù)字濾波器設(shè)計(jì)的核心思想就是求出有限的脈沖響應(yīng)來逼近給定的頻率響應(yīng)即求出這N個(gè)系數(shù)h(i)。
          在MATLAB中提供了一些濾波器的函數(shù),使FIR濾波器的運(yùn)算更加方便和快速。
          函數(shù)fir1是實(shí)現(xiàn)加窗線性相位FIR數(shù)字濾波器的經(jīng)典設(shè)計(jì)方法,可以設(shè)計(jì)出低通、高通、帶通和帶阻濾波器。
          函數(shù)fir2是基于頻率取樣的FIR濾波器設(shè)計(jì)方法,其濾波器的頻率特性由矢量f和a決定,f和a分別為濾波器的頻率向量和幅值向量。考慮到驗(yàn)證方法是采用數(shù)字幅頻均衡,所設(shè)計(jì)的FIR帶通濾波器是由帶阻網(wǎng)絡(luò)的幅頻特性決定的,所以本文是采用函數(shù)fir2()設(shè)計(jì)的。
          函數(shù)fircls是多波段有限最小二乘FIR濾波器設(shè)計(jì)。
          函數(shù)rids是最小二乘線性相位FIR濾波器設(shè)計(jì)。
          函數(shù)firpm是Parks-McClellan最優(yōu)化FIR濾波器設(shè)計(jì)。
          函數(shù)firreos是升余弦FIR濾波器設(shè)計(jì)。
          函數(shù)kaiserord是用Kaiser窗進(jìn)行設(shè)計(jì)的FIR濾波器的參數(shù)估計(jì)。
          fir2()以頻率取樣為基礎(chǔ)可設(shè)計(jì)出任意形狀的FIR濾波器的頻率響應(yīng),最基本的調(diào)用形式如下:
          g.JPG
          其中返回的行向量b是一個(gè)包含n+1個(gè)n階FIR數(shù)字濾波器的系數(shù),此FIR濾波器的頻率特性是由頻率向量f和幅值向量a決定的。
          調(diào)用程序代碼如下:
          h.JPG
          綜上所述,我們只需要知道幅值與頻率的關(guān)系,我們就可計(jì)算出任意階數(shù)的FIR濾波器的系數(shù)。
          1.3 基于FIR IP Core設(shè)計(jì)FIR數(shù)字濾波器
          Mtera提供的FIR Compiler是一個(gè)結(jié)合Altera 器件的FIR Filter Core,使得基于的數(shù)字信號(hào)處理DSP(Digital Signal Proce-ssing)系統(tǒng)的開發(fā)更加便捷,如果采用設(shè)計(jì)好的FIR濾波器的IP核,設(shè)計(jì)周期短,占用邏輯資源少,其運(yùn)算速度相比DSP處理器有很大的提高。
          使用FIR Core之前,首先必須保證MATLAB、Ouartus II及IP核本身,即FIR Compiler等工具安裝正確。如果一切正常,打開QuanusⅡ,在MegaWizard Plug-In Manager庫(kù)中的DSP子庫(kù)中選擇FIR Compiler,選擇應(yīng)用器件和輸出文件名。再點(diǎn)擊Next,則生成一個(gè)FIR Compiler功能模塊,如圖4所示。

          本文引用地址:http://www.ex-cimer.com/article/191003.htm

          i.JPG


          單擊Parameterize按鈕,打開FIR濾波器核的參數(shù)設(shè)置窗口,進(jìn)行FIR濾波器參數(shù)的配置。注意在Parameter Settings中,如果選擇的是從文件中導(dǎo)入系數(shù),則需要注意導(dǎo)入文件的格式,F(xiàn)IR Compiler支持的格式是一行只有一個(gè)數(shù)據(jù),且文件最后不能有回車。所以在MATLAB生成濾波器系數(shù)時(shí),對(duì)其進(jìn)行列處理,再將濾波器系數(shù)復(fù)制到一個(gè)(.txt)文件,將(txt)文件導(dǎo)入。

          j.JPG


          再按照?qǐng)D4完成Step2、Step3,生成FIR模塊如圖5所示。此時(shí)只需要在頂層文件調(diào)用,編寫其輸入輸出引腳。再編寫外圍模塊AD、DA的程序,上電下載程序即可驗(yàn)證產(chǎn)生的FIR帶通濾波器。



          關(guān)鍵詞: FPGA FIR 濾波器 性能

          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();