<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > EDA/PCB > 設(shè)計應(yīng)用 > 基于軟件測試技術(shù)的FPGA測試研究

          基于軟件測試技術(shù)的FPGA測試研究

          作者: 時間:2011-08-01 來源:網(wǎng)絡(luò) 收藏

          一樣,在的開發(fā)階段,就扮演著驗證和確認的角色。但不同的是,的每一個綜合環(huán)節(jié)的輸出結(jié)果都是一種對設(shè)計的形式化表示方法,不但可以通過靜態(tài)的方法檢查驗證,而且有強大的仿真工具能夠支持在開發(fā)階段對各個綜合環(huán)節(jié)進行動態(tài)仿真驗證,這樣更有利于盡早發(fā)現(xiàn)缺陷,減少缺陷修改的成本。

          而在階段,在真實環(huán)境下,自底向上依次進行門級、單元級、元件級和系統(tǒng)級測試,以分別對門級模型、RTL模型、行為模型和系統(tǒng)需求進行驗證。

          (1)門級測試:驗證基本邏輯門的正確性。
          (2)單元測試:的單元包括并行代碼塊,以及順序代碼中進程、函數(shù)和過程。在這一級別的測試中,對RTL模型規(guī)定的各單元功能、邏輯、接口等逐項進行測試。
          (3)元件測試:在FPGA開發(fā)中,將具有一定功能和接口的電路封裝成的可復(fù)用單元稱為元件(component),通過對元件的例化和連接構(gòu)成具有更復(fù)雜功能的元件,直到構(gòu)成整個系統(tǒng)。在元件測試中,通過元件的輸入輸出接口,對元件的行為進行驗證。元件測試包括對元件集成的測試,從對最底層元件測試開始,是一個自底向上逐層集成的過程。
          (4)系統(tǒng)測試:針對完整的FPGA系統(tǒng)的測試,驗證FPGA系統(tǒng)對規(guī)定的功能、性能等需求的滿足性。
          在FPGA系統(tǒng)開發(fā)過程中,邏輯綜合和結(jié)構(gòu)綜合過程基本通過綜合工具由計算機自動完成,開發(fā)者主要是依據(jù)仿真結(jié)果進行修改與優(yōu)化;而在自然語言綜合和行為綜合中,需要大量的人工介入,是缺陷引入的主要環(huán)節(jié),也是FPGA測試的重點。

          3.2 測試類型

          測試相同,F(xiàn)PGA測試可分為靜態(tài)測試和動態(tài)測試。靜態(tài)測試包括文檔審查、代碼審查、靜態(tài)分析、代碼走查等類型。在代碼審查中,除了進行測試的相關(guān)檢查項外,還包括檢查代碼運行時序的正確性、代碼的魯棒性和代碼的可綜合性。而在代碼走查中,需要沿程序的時序和信號流兩個相關(guān)維度,驗證代碼的動態(tài)執(zhí)行情況。
          動態(tài)測試不但沿用軟件測試中一般采用的邏輯測試、功能測試、性能測試、接口測試、強度測試、余量測試、安全性測試、恢復(fù)性測試、邊界測試、數(shù)據(jù)處理測試等,還包含F(xiàn)PGA系統(tǒng)特有的下載與配置測試、魯棒性測試等。

          (1)下載與配置測試:測試系統(tǒng)是否能夠正確實現(xiàn)程序的下載和芯片的配置。一般需要進行不同目標芯片和編程方式的下載與配置測試,對可重構(gòu)FPGA系統(tǒng)重構(gòu)能力的測試。

          (2)魯棒性測試:驗證系統(tǒng)能否避免干擾和沖突得到穩(wěn)定而可靠的輸出測試,一般需要測試輸出電平的穩(wěn)定性、輸出邏輯的確定性及系統(tǒng)抗電磁干擾的能力。

          3.3 測試方法與測試環(huán)境

          白盒測試和黑盒測試是軟件測試中的兩大方法,在FPGA測試中同樣適用。除此之外,由于嵌入式邏輯分析儀的支持,使得灰盒測試在FPGA測試中具有很好的應(yīng)用前景。

          (1)白盒測試是結(jié)構(gòu)性測試方法,根據(jù)代碼的內(nèi)部特征設(shè)計、選擇測試用例。FPGA的白盒測試一般在仿真環(huán)境下進行,可分為代碼仿真、門級仿真和時序仿真。代碼仿真不考慮硬件特性,輸出理想情況下源代碼執(zhí)行結(jié)果,具有直觀、速度快的特點,可以在設(shè)計的最初階段盡早發(fā)現(xiàn)問題;門級仿真是針對代碼綜合后生成的門級網(wǎng)表或是實現(xiàn)后生成的門級模型進行的仿真,可以檢驗綜合或?qū)崿F(xiàn)后對系統(tǒng)或模塊功能的滿足性。時序在門級仿真的基礎(chǔ)上加入時延文件即時序仿真,時序仿真能夠比較真實地反映邏輯的時延與功能,但對于邏輯量大的系統(tǒng)耗時較長。

          (2)黑盒測試是一種功能性測試方法,只測試產(chǎn)品對功能、性能等需求的滿足性,而不考慮產(chǎn)品的內(nèi)部結(jié)構(gòu)和處理過程。軟件測試中的測試方法和測試環(huán)境可以對FPGA中的組合邏輯進行有效的測試。而對于時序邏輯,測試環(huán)境還包含了對動態(tài)輸入、動態(tài)數(shù)據(jù)采集的支持,以及對采集數(shù)據(jù)的比較分析。在測試用例的設(shè)計中,規(guī)定系統(tǒng)輸入、輸出隨時間變化的趨勢,并對特殊的評價方法和通過準則進行定義。

          (3)目前主流的FPGA開發(fā)工具都提供了嵌入式邏輯分析儀工具,使得灰盒測試成為了可能。嵌入式邏輯分析儀的原理是在FPGA真實運行環(huán)境內(nèi)部,利用冗余的芯片資源,將預(yù)制的系統(tǒng)內(nèi)部信號實時通過接口輸出。這樣就可以在軟件真實運行中,監(jiān)視軟件內(nèi)部的信號、變量和狀態(tài),避免了由于輸入輸出域信息丟失以及容錯環(huán)節(jié)造成的代碼缺陷無法暴露的問題,提高了FPGA代碼的測試性[7]。

          4 應(yīng)用實例

          以軟件測試為基礎(chǔ)的FPGA已經(jīng)得到了成功的應(yīng)用。對某數(shù)字調(diào)制解調(diào)系統(tǒng)進行了測試,該系統(tǒng)以Altera公司EP1C3T144芯片為宿主機,采用VHDL語言編寫,實現(xiàn)了偏移四相相移鍵控(OQPSK)的調(diào)制、解調(diào)功能。
          測試項目組對該系統(tǒng)在元件級、系統(tǒng)級進行了測試,包括靜態(tài)分析、代碼審查、邏輯測試、功能測試、性能測試、邊界測試、魯棒性測試、安全性測試等測試類型,其中:

          (1)靜態(tài)分析采用HDL Designer工具進行了編碼規(guī)則檢查,共分析出違反編碼規(guī)則43處,其中2處存在代碼問題,得到了正確的修改,25處影響了代碼的可讀性和維護性,提出改進建議,均進行了相應(yīng)處理。

          (2)代碼審查發(fā)現(xiàn)代碼問題4處,均為代碼和設(shè)計文檔不一致所造成,全部修改正確,并提出一處影響邏輯門資源使用效率的改進建議。

          (3)元件測試采用Altera Modelsim工具在仿真環(huán)境下進行,共設(shè)計測試用例95個,全部執(zhí)行通過。

          (4)系統(tǒng)測試環(huán)境由任意波形發(fā)生器Agilent 33250A、邏輯分析儀Agilent 16902B和示波器Agilent MS09404構(gòu)成動態(tài)測試環(huán)境,如圖2所示,設(shè)計測試用例48個,其中33個規(guī)定了輸入、輸出信號的動態(tài)時序,測試用例全部執(zhí)行通過。

          經(jīng)過測試以及相應(yīng)的修改和回歸,該FPGA系統(tǒng)代碼質(zhì)量和可靠性得到了提高,系統(tǒng)功能、性能得到了驗證。
          軟件在FPGA測試中的成功應(yīng)用,有效解決了FPGA系統(tǒng)的測試問題。通過測試,提高了硬件描述語言的編寫質(zhì)量和系統(tǒng)的可靠性,并對FPGA的電路行為進行了有效的驗證。動態(tài)測試時序測試用例的自動生成,以及系統(tǒng)輸出波形與預(yù)期的自動比較和判斷,將成為未來進一步研究的重點。隨著FPGA系統(tǒng)在各關(guān)鍵領(lǐng)域的進一步普及,以軟件測試為基礎(chǔ)的FPGA測試將具有更廣闊的應(yīng)用前景。

          本文引用地址:http://www.ex-cimer.com/article/191084.htm

          上一頁 1 2 下一頁

          關(guān)鍵詞: FPGA 軟件 測試技術(shù) 測試

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();