<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > EDA/PCB > 設(shè)計應(yīng)用 > 基于FPGA的多功能密碼鎖的設(shè)計

          基于FPGA的多功能密碼鎖的設(shè)計

          作者: 時間:2011-05-20 來源:網(wǎng)絡(luò) 收藏


          摘要:本文設(shè)計的基于的電子,具有記憶和修改6位密碼、輸入密碼位數(shù)指示及防止多次試探密碼等功能,與銀行卡的原理和功能極其相似,使得的保密和安全性能進一步增強。最后,給出了在Quartus II軟件開發(fā)平臺上實現(xiàn)各項功能的仿真圖,并在芯片EP1K30TC144-3上通過了驗證。
          關(guān)鍵詞:現(xiàn)場可編程門陣列;超高速集成電路硬件描述語言;密碼鎖

          0 引言
          電子密碼鎖能保護用戶的重要資料不被非法用戶所竊取,目前使用的電子密碼鎖大多以單片機為控制器,通過運行程序完成密碼鎖的各項功能。由于受到外部干擾的影響,單片機很容易將程序“跑飛”,因而可靠性較差。仿照銀行卡輸入密碼的原理,本文介紹了一種基于FG-PA的密碼鎖的設(shè)計和實現(xiàn)方案,由于采用純硬件實現(xiàn),使得可靠性和安全性大大提高。

          1 電子密碼鎖的功能要求
          設(shè)計的密碼鎖至少具有下列功能:
          (1)6位密碼,與銀行卡密碼位數(shù)相同;
          (2)每位密碼采用十六進制數(shù),與十進制數(shù)密碼相比,密碼被破譯的概率大大降低;
          (3)每位密碼按下時,有密碼位數(shù)指示,類似自動取款機輸入密碼時有“*”號提示;
          (4)密碼輸入過程中密碼有誤時,能對已經(jīng)輸入的密碼清除以便重新輸入密碼;
          (5)6位密碼輸入都正確后,應(yīng)有開鎖指示,上鎖時也應(yīng)有上鎖指示;
          (6)密碼連續(xù)三次輸入錯誤,密碼鎖死鎖,并開啟報警,防止竊賊多次試探密碼;
          (7)初始密碼默認為“123456”,密碼可以修改,在密碼鎖打開狀態(tài)下,再一次輸入正確的舊密碼及兩次輸入的新密碼一致時,密碼才能修改成功。

          2 密碼鎖的系統(tǒng)構(gòu)成
          根據(jù)密碼鎖具有的功能,可將其實現(xiàn)的功能劃分成分頻模塊、鍵盤和數(shù)碼管掃描模塊、按鍵抖動消除模塊、按鍵編碼模塊、鍵值到7段數(shù)碼管譯碼顯示模塊和主控制模塊等6個子模塊,如圖1所示。

          本文引用地址:http://www.ex-cimer.com/article/191204.htm

          a.JPG


          (1)分頻模塊。它對系統(tǒng)的主時鐘進行分頻,以產(chǎn)生4×8小鍵盤的列掃描信號和6位數(shù)碼管的列掃描信號所需要的時鐘。分頻是因為消除按鍵抖動的計數(shù)器時鐘要比分頻后掃描時鐘高得多。
          (2)鍵盤和數(shù)碼管掃描模塊。它檢測到分頻輸出信號的上升沿到來時,就對掃描的列加1,當掃描到最后一列即第7列,再從第0列開始掃描。當檢測到有鍵按下的掃描控制信號時,則停止列掃描,直至按鍵釋放后,再接著掃描下一列。數(shù)碼管的6列掃描信號和小鍵盤的8列掃描信號可以共用,當掃描到小鍵盤的第6、7列時,并沒有掃描6位數(shù)碼管,由于掃描速度足夠快,這并不影響數(shù)碼管的動態(tài)顯示。
          (3)按鍵抖動消除模塊。機械鍵按下時,會產(chǎn)生抖動現(xiàn)象,若不消除,一次按鍵會被錯誤地認為同一鍵被多次按下,從而造成無法正確地輸入密碼。消除的方法可以采用硬件方法如RS觸發(fā)器和軟件延時方法,本文采用后者。
          (4)按鍵編碼模塊。它負責將按下鍵的行列位置碼編碼成0~31的鍵值碼,鍵值0~15當作有效的16進制數(shù)輸入密碼位,大于等于16的鍵值可以定義成功能鍵,如定義清除密碼鍵[Clear]鍵值為17,確認鍵[Enter]鍵值為23,修改密碼鍵[Chgsecret]鍵值為24,其他沒有定義的鍵可
          以預(yù)留升級時的備用功能鍵。
          (5)譯碼顯示模塊。它負責將按下的數(shù)值鍵0~F譯碼成7段LED共陰極字型碼。
          (6)主控模塊。它依據(jù)消除抖動后產(chǎn)生的按鍵釋放信號koff及按下的是數(shù)值鍵或功能鍵決定轉(zhuǎn)移的下一狀態(tài),在不同的狀態(tài)完成密碼的比較、修改及多次試探密碼報警提示等多項控制功能。
          3 軟件實現(xiàn)
          本文采用VHDL語言實現(xiàn)本密碼鎖系統(tǒng)的設(shè)計。對系統(tǒng)中劃分出的每一個模塊功能都可以采用一個進程來描述。分頻、掃描和譯碼顯示三個功能模塊都比較簡單,本文只重點介紹剩余的3個模塊實現(xiàn)原理及進程中的部分關(guān)鍵代碼。完整的代碼可以向本文作者發(fā)郵件索取。
          根據(jù)主控制模塊實現(xiàn)的功能,可以整理成如下圖2所示的流程圖,圖中對修改密碼的流程作了省略。

          b.JPG


          上一頁 1 2 3 下一頁

          關(guān)鍵詞: FPGA 多功能 密碼鎖

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();