<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > EDA/PCB > 設(shè)計(jì)應(yīng)用 > 基于DDS技術(shù)三相功率可控PWM信號的FPGA實(shí)現(xiàn)

          基于DDS技術(shù)三相功率可控PWM信號的FPGA實(shí)現(xiàn)

          作者: 時(shí)間:2011-05-18 來源:網(wǎng)絡(luò) 收藏


          摘要:本文利用技術(shù)實(shí)現(xiàn)了高精度、高分辨率的三相脈沖信號,并通過AGC程控放大技術(shù)實(shí)現(xiàn)對信號的功率可控。本設(shè)計(jì)具有控制靈活,輸出頻率穩(wěn)定和范圍寬等優(yōu)點(diǎn),具有廣闊的應(yīng)用價(jià)值。
          關(guān)鍵詞:現(xiàn)場可編程門陣列;直接數(shù)字頻率合成;功率放大可控;脈寬調(diào)制

          0 引言
          脈寬調(diào)制技術(shù)()目前廣泛應(yīng)用在電力、電子、微型計(jì)算機(jī)、自動控制等多個學(xué)科領(lǐng)域。本設(shè)計(jì)采用基于的直接數(shù)字頻率合成()技術(shù),通過D/A轉(zhuǎn)換進(jìn)行程控放大,實(shí)現(xiàn)了可控的PWM信號。

          1 系統(tǒng)的設(shè)計(jì)原理和實(shí)現(xiàn)過程
          1.1 的設(shè)計(jì)原理
          直接數(shù)字頻率合成器(DDS)的組成見圖1。fc為時(shí)鐘頻率,K為頻率控制字,N為相位累加器的字長,W波形存儲器地址線位數(shù),L為ROM數(shù)據(jù)線寬度(一般也為D/A轉(zhuǎn)換器的位數(shù)),fo為輸出頻率。相位累加器按照時(shí)鐘脈fc的時(shí)序,對輸入頻率控制字K進(jìn)行累加,相位累加器的輸出作為波形存儲器的地址輸入。相位累加器的輸出對應(yīng)于該時(shí)刻合成周期信號的相位,由于N位累加產(chǎn)生溢出,因而相位是周期性的,在0~2π范圍內(nèi)變化。2π/2N rad是最小的相位增量,完成一整周的正弦波輸出需要經(jīng)過2π/(K×2π/2N rad)個系統(tǒng)時(shí)鐘周期。因此,可以得到輸出波形的頻率fo為:

          本文引用地址:http://www.ex-cimer.com/article/191205.htm

          b.JPG

          而DDS的最小頻率分辨率(即最低的合成頻率)為
          a.JPG
          最高的基波合成頻率受奈奎斯持抽樣定理的限制(至少每周兩次抽樣才能重構(gòu)波形)。

          a.JPG


          由此可以看出,DDS具有高頻率分辨率的特點(diǎn)。在fc固定時(shí),取決于相位累加器的位數(shù)N,只要N足夠大,理論上就可以獲得相應(yīng)的分辨精度,這是傳統(tǒng)方法難以實(shí)現(xiàn)的。DDS中相位改變是線性過程,其相位誤差主要依賴于時(shí)鐘的相位特性,相位誤差小,形成的信號具有良好的頻譜特性。
          1.2 用和DDS技術(shù)產(chǎn)生三相PWM的原理
          本設(shè)計(jì)需要設(shè)計(jì)能夠輸出三相的PWM信號。根據(jù)DDS的原理,相位累加器的輸出對應(yīng)于該時(shí)刻合成周期信號的相位,并且相位具有周期性,在0~2π范圍內(nèi)變化。因此設(shè)置相位累加器的初始值,就可以使產(chǎn)生的信號具有不同的初相位。

          pwm相關(guān)文章:pwm原理



          上一頁 1 2 3 下一頁

          關(guān)鍵詞: FPGA DDS PWM 三相功率

          評論


          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();