<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > EDA/PCB > 設計應用 > 數字控制振蕩器(NCO)的FPGA實現(xiàn)

          數字控制振蕩器(NCO)的FPGA實現(xiàn)

          作者: 時間:2011-03-28 來源:網絡 收藏

          摘要:介紹了的工作原理,詳細分析了數控的性能指標和其在中的實現(xiàn)方法,最后給出了新設計的數控在QUARTUSII中的仿真結果。
          關鍵詞:數控振蕩器();無雜散動態(tài)范圍(SFDR);;頻率控制字

          0 引言
          (N umerically Controlled Oscillator)是用于產生一個理想的、數字可控的正弦或余弦波的式振蕩器,其實現(xiàn)方法有實時計算法和查表法等多種。實時計算法的正弦波樣本以實時計算產生,該方法因其計算需要耗費很多時間,因而只能產生較低頻率的正弦波,而且存在計算精度與計算時間的矛盾。由于在需要產生高速的正交信號時,實時計算法將無法實現(xiàn)。因此,在實際應用中一般采用最有效、最簡單的查表法,即事先根據各個NCO正弦波的相位計算好相位的正弦值,并按相位作為地址信息存儲該相位的正弦值數據。

          1 NCO的基本原理
          在通過相位累加產生地址信息時,通常需要輸出當前時刻的相位值所對應的正弦值,即以參考頻率源對相位進行等可控間隔采樣。眾所周知,理想的正弦波信號S(t)可以表示成:
          a.JPG
          式(1)說明,信號s(t)在振幅A和初相φ確定之后,其頻率可以由相位來唯一確定。即:
          b.JPG
          NCO就是利用式(2)中φ(t)與時間t成線性關系的原理來進行頻率合成的,也就是說,在時間t=△t間隔內,正弦信號的相位增量△φ與正弦信號的頻率f可構成一一對應關系,也就是說,對式(2)兩端進行微分后有:c.JPG
          由上面的討論可知:
          i.jpg
          其中,△θ為一個采樣間隔△t之間的相位增量,采樣周期d1.jpg。故式(3)可改寫為:
          d2.jpg
          由式(4)可知,如果可以控制△θ,就可以控制不同的頻率輸出。由于△θ受頻率控制字FCW的控制,即:d4.jpg,所以,改變FCW就可以得到不同的輸出頻率f0,然后經代換處理可得如下方程:
          d3.jpg
          式(5)和式(6)中的L為相位累加器的位數。根據Nyquist準則,允許輸出的頻率最高為FCLK/2,即d5.jpg。但在實際工程中,由于受到低通濾波器的限制,一般輸出的頻率d.JPG。

          本文引用地址:http://www.ex-cimer.com/article/191276.htm

          fpga相關文章:fpga是什么


          低通濾波器相關文章:低通濾波器原理



          上一頁 1 2 3 下一頁

          評論


          相關推薦

          技術專區(qū)

          關閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();