<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > EDA/PCB > 設(shè)計應(yīng)用 > 基于DSP Builder數(shù)字信號處理器的FPGA設(shè)計

          基于DSP Builder數(shù)字信號處理器的FPGA設(shè)計

          作者: 時間:2011-02-09 來源:網(wǎng)絡(luò) 收藏

          技術(shù)廣泛應(yīng)用于各個領(lǐng)域,但傳統(tǒng)的由于以順序方式工作使得數(shù)據(jù)處理速度較低,且在功能重構(gòu)及應(yīng)用目標(biāo)的修改方面缺乏靈活性。而使用具有并行處理特性的實現(xiàn)數(shù)字信號處理系統(tǒng),具有很強的實時性和靈活性,因此利用實現(xiàn)數(shù)字信號處理成為數(shù)字信號處理領(lǐng)域的一種新的趨勢。
          以往基于的數(shù)字信號處理系統(tǒng)的模型及算法采用VHDL或VerilogHDL等硬件描述語言描述。但這些硬件描述語言往往比較復(fù)雜,而采用Altera公司推出的專門針對設(shè)計工具 BuildIer則可大大簡化設(shè)計過程,提高設(shè)計效率。

          1 基于 設(shè)計流程
          DSP 是一個系統(tǒng)級(或者說算法級)設(shè)計工具,它架構(gòu)在多個軟件工具之上,并連接系統(tǒng)級的算法仿真建模和RTL級的硬件實現(xiàn)兩個設(shè)計領(lǐng)域的設(shè)計工具,最大程度地發(fā)揮了這兩種工具的優(yōu)勢。
          DSP 依賴于Math-Works公司的數(shù)學(xué)分析工具Matlab/Simulink,以Simulink的Blockset出現(xiàn),可在simulink中進行圖形化設(shè)計和仿真,同時又通過signal Compiler把Maltlab/Simulink/DSP Builder的設(shè)計文件轉(zhuǎn)成相應(yīng)的VHDL設(shè)計文件,以及用控制綜合與編譯的tcl腳本。而對后者的處理可以由FPGA/CPLD開發(fā)工具QuartusⅡ完成。其設(shè)計流程如下:
          Step1:在Simulink環(huán)境中調(diào)用Altera DSP庫(非MATLAB自帶的DSP庫)中的塊,進行數(shù)學(xué)模型設(shè)計。
          Step2:在MATLAB中進行純數(shù)學(xué)上的仿真、驗證及修改。
          Step3:當(dāng)仿真符合設(shè)計要求后,再加入并運行Signal Compiler模塊,將.mdl文件自動轉(zhuǎn)成.vhd文件,進行RTL級的功能仿真和邏輯綜合。
          Step4:在QuartusⅡ中進行編譯設(shè)計并進行時序仿真。
          Step5:下載到一個硬件開發(fā)板上并測試。

          2 設(shè)計實例
          FIR和IIR濾波器是當(dāng)前數(shù)字信號處理巾最常用的2種濾波器,其中FIR因其具有精確的線性相位特性而得到廣泛應(yīng)用。下面以截止頻率為5 kHz,采樣頻率為32 kHz,輸入序列位寬為9位(最高位為符號位)的12階FIR低通數(shù)字濾波器為例,闡述基于DSP Builder的數(shù)字信號處理器設(shè)計方案。
          2.1 FIR數(shù)字濾波器結(jié)構(gòu)模型
          對于N階FIR數(shù)字濾波系統(tǒng),其沖擊響應(yīng)總是有限長的,系統(tǒng)函數(shù)為:

          濾波器的差分方程為:

          式中,x(n)是輸入采樣序列,h(n)是濾波器系數(shù),N是濾波器的階數(shù),y(n)表示濾波器的輸出序列。
          設(shè)計濾波器的任務(wù)就是尋找一個因果、物理上可實現(xiàn)的系統(tǒng)函數(shù)H(z),使其頻率響應(yīng)滿足所希望的頻域指標(biāo)。圖1為階FIR數(shù)字濾波器的結(jié)構(gòu)圖??梢姡現(xiàn)IR的數(shù)字濾波過程就是一個信號逐級延遲的過程,將各級的延遲輸出加權(quán)累計,即得到FIR的輸出。

          本文引用地址:http://www.ex-cimer.com/article/191371.htm


          2.2 濾波器系數(shù)的確定
          濾波器系數(shù)使用Matlab的FDNTool設(shè)計工具獲得。FDATool即為Filter Design&Analysys Tool,可以完成多種濾波器的設(shè)計、分析和性能評估。
          啟動FDATool后就是濾波器的設(shè)計界面,設(shè)置相應(yīng)參數(shù),便可生成所需的系數(shù)。由于得到的系數(shù)均為介于[-1,1]區(qū)間的浮點數(shù),而在DSP Builder下建立的FIR濾波器模型需要一個整數(shù)(有符號整數(shù)類型)作為濾波器系數(shù),故需將其量化為整數(shù)。量化后濾波器系數(shù)為:

          2.3 濾波器模型的建立
          在DSP Builder平臺上設(shè)計FIR濾波器,首先在Matlab的Simulink中建立一個.MDL模型文件,即根據(jù)所要設(shè)計FIR濾波器的結(jié)構(gòu)調(diào)用Al-tera DSP Builder和其他Simulink庫中的圖形模塊,構(gòu)成設(shè)計框圖文件。


          如果把所有的模塊放在一個Simulink圖中,設(shè)計圖會顯得非常復(fù)雜、龐大,不利于閱讀或排錯,這時可以利用層次設(shè)計方法設(shè)計。對于12階FIR數(shù)字濾波器,可以先設(shè)計一個3階FIR濾波器子模塊fir3(圖2),然后調(diào)用3個fir3子模塊構(gòu)造成12階nR數(shù)字濾波器。使用。DSPBuilder工具箱建立的12階FIR數(shù)字濾波器模型,如圖3所示。


          上一頁 1 2 下一頁

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();