<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > EDA/PCB > 設(shè)計(jì)應(yīng)用 > 基于FPGA的多路視頻通道控制

          基于FPGA的多路視頻通道控制

          作者: 時(shí)間:2010-06-29 來(lái)源:網(wǎng)絡(luò) 收藏


          設(shè)計(jì)過(guò)程中,首先將開(kāi)關(guān)動(dòng)作進(jìn)行編碼。然后將編碼后的數(shù)據(jù)存進(jìn)空間大小為8的雙口RAM中。當(dāng)BF561檢測(cè)到PF9上的脈沖后,在PF中斷中讀取RAM中指定地址的數(shù)據(jù),然后根據(jù)這個(gè)數(shù)據(jù)進(jìn)行開(kāi)關(guān)狀態(tài)解碼并按解碼后的控制信息對(duì)2塊MAX4312器件進(jìn)行操作以控制通道。對(duì)開(kāi)關(guān)動(dòng)作進(jìn)行的編碼程序代碼如下:

          整個(gè)設(shè)計(jì)有4種開(kāi)關(guān)動(dòng)作,程序中分別將其編碼成數(shù)據(jù)0l、02、03、04,然后將編碼后的這4個(gè)數(shù)據(jù)存入雙口模塊中,等待BF561來(lái)讀取并進(jìn)行解碼。圖6是SignalTapⅡLogic Analyzer中對(duì)脈沖開(kāi)關(guān)向右撥動(dòng)后存入RAM中的數(shù)據(jù)進(jìn)行采樣。


          程序中RAM的讀信號(hào)rden_signal是由DSP發(fā)送的地址信號(hào)DSP_A、BANK選擇信號(hào)DSP_AMSl以及DSP讀信號(hào)DSP_ARE進(jìn)行控制的。當(dāng)DSP不從雙口讀取數(shù)據(jù)時(shí),必須給DSP的數(shù)據(jù)線賦值高阻,以免影響SDRAM對(duì)DSP數(shù)據(jù)線的操作。賦值指令如下:assignDSP_D=(rden_signal=l 'b1)?DSP_D_TEMP;8 'hzz;其中DSP_D_TEMP是從雙口中讀取的數(shù)據(jù)。

          3 結(jié)論
          首先介紹了整個(gè)系統(tǒng)的工業(yè)背景和硬件架構(gòu),然后著重闡述了怎樣在中處理開(kāi)關(guān)控制信號(hào),以達(dá)到可靠響應(yīng)每個(gè)開(kāi)關(guān)動(dòng)作的目的。整個(gè)設(shè)計(jì)程序是在QuartusⅡ平臺(tái)上用Verilog硬件描述語(yǔ)言編寫(xiě),利用QuartusⅡ中的下載工具和SignalTapⅡLogic Analyzer工具進(jìn)行下載、實(shí)時(shí)采樣,并多次調(diào)試驗(yàn)證。本次設(shè)計(jì)已經(jīng)成功應(yīng)用于布機(jī)告警系統(tǒng)中,每次撥動(dòng)開(kāi)關(guān)都能準(zhǔn)確、可靠的切換通道。雖然此系統(tǒng)中只有兩種開(kāi)關(guān),但是整個(gè)程序的設(shè)計(jì)思想對(duì)多種開(kāi)關(guān)控制也是通用的,只需在細(xì)節(jié)上稍作改變就能識(shí)別多種開(kāi)關(guān)動(dòng)作。


          上一頁(yè) 1 2 3 4 下一頁(yè)

          關(guān)鍵詞: FPGA 多路 視頻 通道控制

          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();