<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > EDA/PCB > 設(shè)計應(yīng)用 > 基于FPGA的∑-Δ D/A轉(zhuǎn)換器的設(shè)計與實現(xiàn)

          基于FPGA的∑-Δ D/A轉(zhuǎn)換器的設(shè)計與實現(xiàn)

          作者: 時間:2010-04-21 來源:網(wǎng)絡(luò) 收藏
          摘要:數(shù)模可以將一個二進制數(shù)字量轉(zhuǎn)換成與該數(shù)字量成正比的電壓值,可應(yīng)用于可編程電壓源、波形發(fā)生器等。本文采用數(shù)字化技術(shù),用實現(xiàn)了一個簡單的一階8位∑-Δ 型DAC,只占用幾個CLB。的速度和柔性的輸出結(jié)構(gòu)非常適合該DAC的實現(xiàn)。
          關(guān)鍵詞:∑-Δ DAC,,VerilogHDL,可綜合性

          引言

          本文引用地址:http://www.ex-cimer.com/article/191733.htm

          在各類電子系統(tǒng)中,數(shù)字電路所占比重越來越大。這主要是因為數(shù)字電路相對于 電路有一些突出的優(yōu)點,例如:1 數(shù)字電路中的有源器件工作在飽和區(qū) 與截止區(qū),工作狀態(tài)穩(wěn)定;2 數(shù)字電路處理的是二值信號,易于存儲和再生;3 數(shù)字電路是由大量相同的基本單元,如門、觸發(fā)器等所組成,易于大規(guī)模集成,易于自動化設(shè)計工具的應(yīng)用等。再加上數(shù)字計算機和數(shù)字信號處理技術(shù)的迅速發(fā)展,使得數(shù)字電路從集成規(guī)模、應(yīng)用范圍及設(shè)計自動化程度等方面都大大超過了模擬電路,越來越多的由模擬電路實現(xiàn)的功能轉(zhuǎn)由數(shù)字電路實現(xiàn),進入了電子系統(tǒng)設(shè)計的數(shù)字化時代。

          1 變∑-Δ換的原理

          ∑-Δ變換采用過取樣技術(shù),將信號按時間分割,保持幅度恒定,具有高取樣率、噪聲整形和比特字長短的特點。變換可以在低取樣率、高分辨率的量化器或者高取樣率、低分辨率的量化器中進行,在數(shù)字音頻中很有用,如用于音頻信號數(shù)字化的∑-Δ ADC及可將已經(jīng)數(shù)字化處理后的音頻信號還原為模擬聲音信號的∑-Δ DAC?!?Δ變換有時根據(jù)采用的具體結(jié)構(gòu)稱為1比特或多比特變換,本文所描述的∑-Δ DAC采用了1比特變換技術(shù),克服了采用較多比特數(shù)時所帶來的量化非線性誤差、糾錯困難的缺點。
          打個比方來說明如何用1比特替代16或更多比特:傳統(tǒng)的階梯變換器像16個電燈泡,連接到各自的開關(guān)上,每個都有不同的亮度,用各種組合方式可以得到216(即65536)種不同的亮度。然而,燈泡間的亮度差會引入誤差,某種組合也并不總是能夠產(chǎn)生所要求的亮度。1比特變換技術(shù)采用完全不同的方法,不用那么多燈泡和開關(guān),只用一個燈泡和一個開關(guān)。房間亮度的變化可以通過簡單的改變開、關(guān)燈泡的次數(shù)來得到。如果燈泡開的次數(shù)增加,房間的亮度就會增加。
          ∑-Δ變換是將信號按時間分割,保持信號幅度恒定。它用高電平或低電平的脈沖表示信號,例如可以采用脈沖密度調(diào)制(PDM),如圖1所示恒定幅度的脈沖信號,不論電平高或低都能夠重建輸出信號波形。

          2 ∑-Δ DAC的結(jié)構(gòu)

          傳統(tǒng)的應(yīng)用電流模技術(shù)的DAC當位數(shù)達到10位以上時,要在某一溫度范圍保持精度非常困難。本文的∑-Δ DAC運用了數(shù)字技術(shù),因此與電流模DAC相比,不受溫度變化的影響,且能在可編程邏輯器件如FPGA中實現(xiàn)?!?Δ DAC實際上是高速1位DAC,應(yīng)用數(shù)字反饋技術(shù)從輸入二進制數(shù)字量產(chǎn)生等幅的脈沖串,脈沖串的平均占空比與輸入二進制數(shù)字量成正比,脈沖串再通過一RC模擬低通濾波器就能重建模擬波形。∑-Δ DAC非常適合于低頻、高精度的應(yīng)用,尤其在數(shù)字音頻領(lǐng)域應(yīng)用廣泛。


          上一頁 1 2 3 下一頁

          關(guān)鍵詞: FPGA 轉(zhuǎn)換器

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();