<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > EDA/PCB > 設(shè)計(jì)應(yīng)用 > 利用FPGA實(shí)現(xiàn)HDB3編解碼功能

          利用FPGA實(shí)現(xiàn)HDB3編解碼功能

          作者: 時(shí)間:2010-03-16 來(lái)源:網(wǎng)絡(luò) 收藏

          3.1.2 V碼和B碼解碼過(guò)程
          檢測(cè)V碼后,根據(jù)編碼規(guī)則,只需將V碼及之前3位碼全部置零就可同時(shí)完成扣V/扣B操作。這又會(huì)涉及到一個(gè)由現(xiàn)在事件狀態(tài)決定過(guò)去事件狀態(tài)的情況,仍可采用兩組4位移位寄存器解決。根據(jù)編碼規(guī)則,V碼是取代連“0”串中的第4個(gè)“0”,而B碼總是出現(xiàn)在V碼之前,且只相隔兩個(gè)“O”,當(dāng)輸入是V碼后,只需同時(shí)將4位移位寄存器置零,即可同時(shí)完成V碼和B碼的解碼過(guò)程。扣除V碼和B碼后,還需將雙相碼變換成單相碼,即當(dāng)輸入是“00”時(shí)輸出“0”,輸入是“01”時(shí)輸出“l(fā)”,至此便完成了解碼。
          3.2 解碼的Verilog HDL實(shí)現(xiàn)
          以下利用硬件描述語(yǔ)言實(shí)現(xiàn)解碼功能,這里只給出正V碼檢測(cè)模塊和扣V碼/扣B碼模塊的關(guān)鍵程序。

          本文引用地址:http://www.ex-cimer.com/article/191774.htm



          關(guān)鍵詞: FPGA HDB3 編解碼

          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();