<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > EDA/PCB > 設(shè)計(jì)應(yīng)用 > 基于FPGA“乒乓球比賽游戲機(jī)”的設(shè)計(jì)

          基于FPGA“乒乓球比賽游戲機(jī)”的設(shè)計(jì)

          作者: 時間:2009-12-18 來源:網(wǎng)絡(luò) 收藏

          3 頂層模塊仿真測試
          由于篇幅限制,本系統(tǒng)中的分頻器、譯碼器等常用模塊的設(shè)計(jì)就不再此贅述,最終頂層原理圖設(shè)計(jì)如圖6所示,仿真波形如圖7所示,分析波形可知,圖中開始比賽信號產(chǎn)生后,首次發(fā)球權(quán)方為乙方,甲方發(fā)球造成犯規(guī)音響電路鳴響,即speaker信號為高電平,然后乙方發(fā)球,乒乓球依次移位,甲方接球成功后乙方未接球成功,甲方得分,cnta信號為“0110000”,注意,此處輸出為驅(qū)動數(shù)碼管輸出信號,代表數(shù)字“1”。通過仿真可知,該系統(tǒng)設(shè)計(jì)滿足游戲機(jī)比賽規(guī)則要求。

          4 結(jié)語
          采用VHDL語言編程,基于成功設(shè)計(jì)了一款乒乓球比賽游戲機(jī),通過仿真驗(yàn)證可知,結(jié)果滿足設(shè)計(jì)需求,系統(tǒng)具有發(fā)球權(quán)控制、自動計(jì)分、犯規(guī)提示等多種功能,能有效模擬實(shí)際乒乓球比賽。該系統(tǒng)進(jìn)一步改進(jìn)思路為:改用人體感應(yīng)傳感器來采集擊球信號,采用產(chǎn)生視頻信號傳送到電視機(jī)或監(jiān)視器,更直觀地展示乒乓球運(yùn)動軌跡,從而真正實(shí)現(xiàn)人機(jī)互動,優(yōu)化虛擬效果。


          上一頁 1 2 3 4 下一頁

          關(guān)鍵詞: FPGA

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();