<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > EDA/PCB > 設(shè)計應(yīng)用 > 基于FPGA的AGWN信號生成器

          基于FPGA的AGWN信號生成器

          作者: 時間:2009-12-17 來源:網(wǎng)絡(luò) 收藏


          在通信系統(tǒng)中分析計算系統(tǒng)抗噪聲性能時,經(jīng)常假定信道噪聲為加性高斯型白噪聲()。本文就是通過分析的性質(zhì),采用自頂向下的設(shè)計思路,將分成若干模塊,最終使用Verilog硬件描述語言,完成了通信系統(tǒng)中AGWN發(fā)生電路的設(shè)計和仿真,其實質(zhì)上是設(shè)計一個AGWN發(fā)生器。該信號主要應(yīng)用在數(shù)字通信系統(tǒng)中,所以只需要產(chǎn)生數(shù)字形式的AGWN信號,這樣既便于信號產(chǎn)生,也便于在數(shù)字通信系統(tǒng)中運用。

          本文引用地址:http://www.ex-cimer.com/article/191846.htm


          1 AGWN信號的產(chǎn)生
          AWGN信號指同時滿足白噪聲和高斯噪聲的條件的信號。白噪聲功率密度函數(shù)在整個頻率域內(nèi)是常數(shù),即服從均勻分布。完全理想的白噪聲不存在。高斯噪聲指概率密度函數(shù)服從高斯分布(即正態(tài)分布)。AGWN信號其實就是一個具有確定功率譜密度和概率分布函數(shù)的隨機(jī)信號。
          由隨機(jī)過程的理論可以知道,不相關(guān)隨機(jī)序列的功率譜密度為常數(shù)(白噪聲),偽隨機(jī)序列(PN)就是這樣的不相關(guān)序列。再由中心極限定理,獨立同分布的隨機(jī)變量的和收斂于高斯隨機(jī)變量。這樣就可根據(jù)PN序列的性質(zhì)和中心極限定理來設(shè)計AWGN信號。
          為簡單起見,設(shè)計用8個PN序列發(fā)生器產(chǎn)生8個獨立的偽隨機(jī)序列(每個分為實部和虛部),根據(jù)中心極限定理,將8個信號相加之后的信號,更加接近于AG-WN信號,最后再乘以一個可變系數(shù),就產(chǎn)生了可在數(shù)字通信系統(tǒng)中直接引用的AGWN信號。AGWN信號生成的總體框圖如圖1所示。

          內(nèi)部產(chǎn)生n位并行偽隨機(jī)序列,可由n個并行的結(jié)構(gòu)相同但初始態(tài)互不相同的線性反饋移位寄存器(LFSR)產(chǎn)生。結(jié)構(gòu)相同的LFSR在不同初始狀態(tài)下產(chǎn)生的序列之間存在著一種移位關(guān)系,導(dǎo)致n個序列并非相互獨立。如果所期望的序列長度為k,那么只有在保證任一狀態(tài)在k次移位操作之內(nèi)都不會與其他狀態(tài)發(fā)生重復(fù)后,這種方法才是可取的。
          在通信中,信號一般都表示為復(fù)數(shù)形式,所以該設(shè)計采用了實部與虛部的表示方法,AGWN信號分為實部與虛部,它們滿足正交關(guān)系。


          2 模塊的設(shè)計與實現(xiàn)
          設(shè)計主要分為三大模塊:PN序列產(chǎn)生模塊,產(chǎn)生符合高斯型白噪聲偽隨機(jī)序列;加法器模塊,將產(chǎn)生的8個PN序列相加產(chǎn)生更加符合AGWN信號的偽隨機(jī)序列;乘法器模塊,將加法器產(chǎn)生的偽隨機(jī)序列乘以一個可變系數(shù),得到最終的符合數(shù)字信號的偽隨機(jī)序列。
          2.1 n個PN序列發(fā)生器的設(shè)計與實現(xiàn)
          PN序列產(chǎn)生模塊的主要功能就是產(chǎn)生PN序列。而PN序列中m序列又是周期最大,偽隨機(jī)性最好的一種移位寄存器序列。m序列的自相關(guān)性、隨機(jī)性特性很好地滿足了AGWN信號的要求,故用其產(chǎn)生的序列可以來產(chǎn)生該設(shè)計中的信號。
          要產(chǎn)生m序列,就要求移位寄存器的反饋鏈路滿足本原多項式,由,n個并行的結(jié)構(gòu)相同但初始態(tài)互不相同的線性反饋移位寄存器(LFSR)產(chǎn)生的序列在其周期足夠長的情況下可以把它們看作是獨立的。
          設(shè)計中選取n=8,移位寄存器位數(shù)選取為25位,其m序列周期為33 554 431。其信號實部抽頭選取3,0,虛部抽頭選取3,2,1,0,分別對應(yīng)PN序列的特征多項式。這樣選取是因為一個移位寄存器的本原多項式有很多種,這里選取的兩個抽頭比較簡單,對電路實現(xiàn)在資源、結(jié)構(gòu)上都有優(yōu)勢。PN發(fā)生器選擇8個是考慮到資源利用率方面的問題,這樣選取可使資源利用率達(dá)到最大。


          上一頁 1 2 3 下一頁

          關(guān)鍵詞: FPGA AGWN 信號 生成器

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();