<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > EDA/PCB > 設計應用 > 基于FPGA的2M誤碼測試儀設計

          基于FPGA的2M誤碼測試儀設計

          作者: 時間:2009-12-04 來源:網(wǎng)絡 收藏


          0 引言
          無論是何種通信新業(yè)務的推出和運營,都離不開強力有效且高可靠的傳輸系統(tǒng)。隨之而帶來的問題就是如何對系統(tǒng)的傳輸質量進行測量和保證。
          誤碼測試儀是一種能夠測量和保證傳輸質量的智能化儀器,該儀器可通過檢測來反映數(shù)據(jù)傳輸設備及其信道工作的誤碼損傷性能質量指標,并對其進行傳輸質量分析的有效工具。在電信運營、工程驗收、科研、設備生產、教學實驗等各方面,誤碼儀都是必不可少的通信測量和線路維護的最佳輔助工具。目前在陜西省業(yè)務設備的接口應用中,百分之九十以上的接口是2M的接口,比如:交換網(wǎng)絡上應用、信令網(wǎng)上的應用、數(shù)據(jù)網(wǎng)上的應用、網(wǎng)管網(wǎng)上的應用等,都使用了2M的數(shù)據(jù)。針對傳統(tǒng)誤碼儀的不足,本文給出了基于Altera公司的cyclone系列芯片EP1C12-240PQFP的測試儀的設計方案。基于FP-GA的誤碼測試儀相對于傳統(tǒng)的誤碼測試儀的優(yōu)點在于其的內部邏輯功能是通過向內部靜態(tài)存儲器單元加載配置數(shù)據(jù)來實現(xiàn)的。其配置文件決定了邏輯單元的邏輯功能以及模塊間或與I/O間的連接,并最終決定了所實現(xiàn)的功能。FPGA的這種結構允許多次編程,并享有快速有效地對新設計進行優(yōu)化的靈活性,故現(xiàn)今的通信系統(tǒng)大量采用FPGA作為系統(tǒng)的核心控制器件。本文重點介紹了FPGA內核中序列發(fā)生模塊和序列接收模塊中核心內容的設計。其中FPGA內核中m序列發(fā)生器的設計思想采用移位寄存器理論,并根據(jù)本原多項式來獲得m序列移位寄存器的反饋邏輯式:

          其中cn-1-i∈GF(2)是反饋系數(shù),xi∈GF(2)是每位寄存器的狀態(tài)。這樣,結合cyclone系列FPGA芯片EP1C12-240PQFP的結構特點,就很容易實現(xiàn)反饋移位寄存器的邏輯功能。

          本文引用地址:http://www.ex-cimer.com/article/191869.htm


          1 誤碼測試系統(tǒng)的總體方案
          誤碼一般是由通信系統(tǒng)中接收端抽樣判決器的錯誤判斷造成的,而造成錯誤判斷的原因主要有兩個:一是碼間串擾,另一個是信道加性噪聲的影響。誤碼測試儀存在兩種設計方案,一種是誤碼儀的發(fā)射端模塊和接收模塊兩部分獨立,可用于單工的通信系統(tǒng)性能測試。但是由于該系統(tǒng)的測試序列需要動態(tài)生成,所以,其能夠測試的系統(tǒng)碼速只能和FPGA控制模塊所能達到的速度相同。另一種方案是將發(fā)射端模塊和接收模塊結合在一個系統(tǒng)內部,這樣能測試具有回環(huán)的通信系統(tǒng),如雙工通信的收發(fā)設備等。本文設計屬于第二種方案,其誤碼測試系統(tǒng)框圖如圖1所示。圖中,發(fā)送端模塊產生的偽隨機序列作為通信系統(tǒng)的信源數(shù)據(jù)流流經(jīng)信道,接收端模塊則接收來自信道輸出的比特流,并將其與接收端模塊產生的與發(fā)送端模塊輸出類型相同的偽隨機序列進行比較,從而完成誤碼測試。誤碼儀由發(fā)送端的序列發(fā)生器1??臁⒔邮斩说奈煌叫盘柼崛∧K、幀同步碼檢測模塊、序列發(fā)生器2模塊、誤碼分析模塊及外設接口構成。其中位同步信號提取模塊的作用是獲得位定時同步脈沖。幀同步碼檢測模塊的作用是獲取幀同步信號,以使兩個序列能以同相位比較計算誤碼率。因為如果接收的測試序列與序列發(fā)生器2模塊產生的序列兩者相位不同,則誤碼的測試結果將毫無意義。


          2 系統(tǒng)硬件設計
          2.1 系統(tǒng)硬件組成
          根據(jù)誤碼測試儀器的功能要求,在本系統(tǒng)的硬件總體架構中,將FPGA作為總控制器,并外擴E1接口模塊,以用于實現(xiàn)碼型和波形的轉換,同時將FPGA輸出的NRZ碼型轉換成適合于在E1信道中傳輸?shù)腍DB3碼型,并將來自E1信道的HDB3碼轉換為NRZ碼送入FPGA中;E2PROM存儲模塊則可將誤碼分析模塊的誤碼測試結果進行存儲,且掉電不丟失數(shù)據(jù),并可隨時讀取,以便于在無人值守的情況下進行誤碼測試;LCM顯示模塊是為了使用戶了解誤碼測試結果;RS232串口模塊則用于和PC機通訊,上傳誤碼檢測結果,以便于從PC機上看到一段時間內誤碼率曲線圖及其它誤碼信息,使用戶了解通信系統(tǒng)的誤碼發(fā)生情況;此外,系統(tǒng)還外擴有鍵盤、電源管理模塊、時鐘電路、JTAG下載口和AS下載口。


          上一頁 1 2 3 4 下一頁

          關鍵詞: FPGA 2M誤碼 試儀設計

          評論


          相關推薦

          技術專區(qū)

          關閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();