<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > EDA/PCB > 設(shè)計(jì)應(yīng)用 > 基于FPGA的高速數(shù)據(jù)采集存儲(chǔ)系統(tǒng)的設(shè)計(jì)

          基于FPGA的高速數(shù)據(jù)采集存儲(chǔ)系統(tǒng)的設(shè)計(jì)

          作者: 時(shí)間:2009-03-31 來源:網(wǎng)絡(luò) 收藏

          0 引言
          信息技術(shù)的發(fā)展,特別是各種數(shù)字處理器件處理速度的提高,實(shí)時(shí)處理大量的數(shù)據(jù)已經(jīng)成為現(xiàn)實(shí)。但是,在一些惡劣環(huán)境和數(shù)據(jù)無法進(jìn)行實(shí)時(shí)傳輸?shù)那闆r下,還必須用到存儲(chǔ)測試的方法。存儲(chǔ)測試是指在對(duì)被測對(duì)象無影響或影響在允許的范圍條件下,在被測體內(nèi)置入微型存儲(chǔ)測試系統(tǒng),現(xiàn)場實(shí)時(shí)完成信息快速與存儲(chǔ),事后對(duì)存儲(chǔ)器進(jìn)行回收,由計(jì)算機(jī)處理和再現(xiàn)被測信息的一種動(dòng)態(tài)測試技術(shù)。針對(duì)具體的情況,我們研制開發(fā)了一種用蓄電池就可工作的、能在各種惡劣環(huán)境下應(yīng)用的、可回收的小體積低功耗的大容量數(shù)據(jù)

          本文引用地址:http://www.ex-cimer.com/article/192105.htm


          1 系統(tǒng)總體設(shè)計(jì)
          整個(gè)系統(tǒng)以為核心控制器來組織工作,它控制著整個(gè)系統(tǒng)的讀、寫、擦除等操作。系統(tǒng)主要解決的問題是、存儲(chǔ)和數(shù)據(jù)事后讀取。系統(tǒng)整體框圖如下圖1所示。

          1.1 數(shù)據(jù)采集
          由于要同時(shí)對(duì)多路信號(hào)進(jìn)行采集,我們采取了對(duì)模擬開關(guān)進(jìn)行均等時(shí)間推進(jìn)以實(shí)現(xiàn)通道的轉(zhuǎn)換。當(dāng)轉(zhuǎn)換到某一通道時(shí),同時(shí)要啟動(dòng)A/D進(jìn)行模數(shù)轉(zhuǎn)換。為了達(dá)到高精度采集的目的,采用16位的AD轉(zhuǎn)換器AD762l,模擬開關(guān)選用ADG706。以下是AD轉(zhuǎn)換器的硬件電路連接圖2。

          1.2 AD采集時(shí)序
          AD采集時(shí)序圖如下圖3所示。AD采集過程從/CNVST信號(hào)下降沿開始,BUSY信號(hào)下降沿完成,BYTE信號(hào)控制數(shù)據(jù)類型,低電平為低8位數(shù)據(jù),高電平為高8位數(shù)據(jù)。延遲0.9us給出第一個(gè)WRFIFO信號(hào),WRFIF0高電平有效,在此期間數(shù)據(jù)線上的數(shù)據(jù)為低8位數(shù)據(jù),經(jīng)過1.8us的低電平后,給出第二個(gè)WRCLK信號(hào),此時(shí)數(shù)據(jù)為高8位數(shù)據(jù),一個(gè)數(shù)據(jù)的轉(zhuǎn)換完成。圖3 AD采集時(shí)序圖

          1.3 數(shù)據(jù)存儲(chǔ)
          對(duì)數(shù)據(jù)的存儲(chǔ),我們采用閃存(FLASH Memory)作為存儲(chǔ)器.它具有體積小、功耗低和數(shù)據(jù)不易丟失的特點(diǎn)。對(duì)FLASH的寫操作過程有其固定的操作模式,如圖3所示。由于FLASH是按頁存儲(chǔ)的,當(dāng)一頁寫完之后要進(jìn)行下一頁的控制字和地址的重新寫入,為了使采集回來的數(shù)據(jù)能及時(shí)準(zhǔn)確的寫/kFLASH,而不至于在頁與頁的交替時(shí)間內(nèi)使數(shù)據(jù)丟失.所以不可能將采集回的數(shù)據(jù)直接存入FLASH,我們利用FPGA內(nèi)部集成FIF0來緩存FLASH MEMORY編程期間A/D控制器上傳的數(shù)據(jù),A/D轉(zhuǎn)換器總的采樣率為12KSPS,精度為12位,加上通道號(hào)和幀計(jì)數(shù),每秒鐘A/D控制器上傳到FIF0的數(shù)據(jù)為34KB。FLASH MEMORY最大編程時(shí)間為300us。在FLASH MEMORY編程期間A/D轉(zhuǎn)換器上傳到FIF0的數(shù)據(jù)為:34KB×300us=10.2B。因此FPGA內(nèi)部集成FIF0設(shè)計(jì)成寬度為一個(gè)字節(jié),深度為1024的異步FIFO,即總?cè)萘繛?KB,完全能夠緩存FLASH MEMORY編程期間A/D控制器上傳的數(shù)據(jù)。


          上一頁 1 2 下一頁

          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();