<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > EDA/PCB > 設(shè)計應(yīng)用 > EDA軟件在電路設(shè)計中的合理應(yīng)用

          EDA軟件在電路設(shè)計中的合理應(yīng)用

          作者: 時間:2017-08-28 來源:網(wǎng)絡(luò) 收藏

            電子電路的設(shè)計是一項非常復(fù)雜的系統(tǒng)工程,在設(shè)計過程中,由設(shè)計者通過對具體數(shù)據(jù)進行相應(yīng)的分析,然后提出初步設(shè)計方案,再進行相應(yīng)的修改與調(diào)試,不斷地對電路的設(shè)計進行補充,完善電路設(shè)計方案。這個過程是十分復(fù)雜而費時的。隨著電子設(shè)計自動化()技術(shù)的出現(xiàn),極大的節(jié)約了電子電路課程設(shè)計的時間,使得電子電路的設(shè)計更加簡準(zhǔn)確、科學(xué)。

          本文引用地址:http://www.ex-cimer.com/article/201708/363546.htm

            1 技術(shù)的特點

            電子設(shè)計自動化()技術(shù)是將計算機作為工作的平臺,通過融合電子技術(shù)、智能化技術(shù)以及計算機技術(shù)的最新成果而設(shè)計出來的一項現(xiàn)代電子技術(shù)。隨著現(xiàn)代化教育的不斷深入,EDA技術(shù)的應(yīng)用也越來越廣泛。目前,EDA技術(shù)已經(jīng)在電子電路設(shè)計、印刷電路板設(shè)計、可編程器件的編程以及集成電路板的設(shè)計中被廣泛的應(yīng)用。通過運用EDA技術(shù),相應(yīng)的設(shè)計人員能夠?qū)﹄娐吩O(shè)計、邏輯分析、時序測試、性能設(shè)計等各個方面進行自動設(shè)計。對于EDA軟件技術(shù)的開發(fā)而言,8.0軟件支撐平臺是發(fā)揮EDA技術(shù)功能的有力支撐平臺,能夠保證其電路的建立、實驗數(shù)據(jù)的分析以及結(jié)構(gòu)的輸出等方面的處理與分析過程能夠在一個集成系統(tǒng)中完成。在利用EDA技術(shù)進行設(shè)計的過程中,設(shè)計人員只需要通過鼠標(biāo)進行簡單的操作就能夠完成電路的創(chuàng)建、更改電路參數(shù)、更換電路元器件等方面的工作。同時,在使用EDA技術(shù)進行電路設(shè)計的過程中,該軟能夠白行對相應(yīng)的設(shè)計方案進行淵試,不斷地對設(shè)計電路的性能進行分析,對電路設(shè)計中的漏洞、問題進行修補充、修改,從而使得設(shè)計的電路性能最優(yōu)化。同時,對于運用EDA技術(shù)在8.0軟件支撐平臺中進行電路設(shè)計及其優(yōu)化而言,該軟件在輸入輸出指令以及各種控制語言方面沒有硬性的要求,也不需要對電路的各個環(huán)節(jié)進行相應(yīng)的程序編制,只需要在電路設(shè)計的平臺內(nèi)將虛擬的電子元器件用節(jié)點和線進行連接,就能夠從虛擬的儀器表上得到相應(yīng)的仿真波形以及各種參數(shù)的分析結(jié)果。8.0軟件平臺中設(shè)有大量的與實際元器件相對應(yīng)的虛擬模型,這也就使得在進行相應(yīng)的電子電路設(shè)計中,電路設(shè)計的的形式更加趨近于現(xiàn)實情況,使得其仿真效果更加精確、科學(xué)。

            2 利用EDA技術(shù)進行電子電路設(shè)計的一般步驟

            2.1 對相應(yīng)的設(shè)計課題進行理解

            在進行電子電路設(shè)計之前,要對需要設(shè)計的電路進行全方面的分析,對設(shè)計電路的功能要求、設(shè)計標(biāo)準(zhǔn)、設(shè)計元件以及技術(shù)指標(biāo)能夠熟練的掌握,對于處理信號與被控制轉(zhuǎn)換對象的特點和參數(shù)進行系統(tǒng)的分析與統(tǒng)計。其設(shè)計的基本流程如下圖所示:

            圖1 基本流程

            2.2 制定相應(yīng)的設(shè)計方案

            通過對系統(tǒng)的總體功能進行分析,繪制電路設(shè)計的原理框圖,然后將總的設(shè)計方案劃分為多個環(huán)節(jié)。通過對不同環(huán)節(jié)間的聯(lián)系進行分析,確定各個環(huán)節(jié)間的信號交流方式以及電路運行的時序。電路設(shè)計的總框圖要能夠簡單、清晰的表達出整個電路設(shè)計的過程與原理。

            2.3 對單元電路進行仿真實驗

            在確定了總的設(shè)計方案后,要對元器件進行選擇,通過電子仿真軟件將每個環(huán)節(jié)的電路圖進行繪制,然后利用電子仿真軟件中的仿真功能對其進行仿真檢驗,以判斷該環(huán)節(jié)的設(shè)計方案是否可行。如果在電路的設(shè)計中運用了COMS、分立元件、TTL、運放集成電路等多種元器件,采用了不同的電源供電,那么,在設(shè)計的過程中就應(yīng)該對電路間的電平轉(zhuǎn)換過程進行設(shè)計,并對其轉(zhuǎn)換的方式與流程進行相應(yīng)的框圖繪制,從而確保其電平的轉(zhuǎn)換方式正確。同時,在進行仿真電路設(shè)計時,可以根據(jù)所要設(shè)計的電路類型選擇合適的仿真軟件。當(dāng)下,電力行業(yè)中已經(jīng)開發(fā)出許多種側(cè)重點不同的仿真軟件,包括SPICE、Proteus、Multisim等軟件。一般來說,對于在電路設(shè)計中基礎(chǔ)電路的仿真過程來說,其最好的選擇是采用Multisim軟件進行仿真實驗;對于相對復(fù)雜的控制電路來說,其主要采用的是Proteus軟件;在通信工程的電路設(shè)計中,在進行仿真實驗設(shè)計時,一般采用的是MATLAB軟件。通過對各種仿真電路軟件的使用,能夠?qū)Ω鱾€環(huán)節(jié)的設(shè)計方案進行相應(yīng)的性能檢驗,對其運行的原理及流程進行模擬,還能對電路設(shè)計方案中的參數(shù)自動分析,對于設(shè)計方案中的不足之處進行改進,從而使得設(shè)計方案得到優(yōu)化。通過對EDA技術(shù)的運用,不僅能夠使得設(shè)計的過程變得簡單便捷,節(jié)約大量的時間,而且能夠開發(fā)相應(yīng)學(xué)習(xí)者的創(chuàng)新思維,提高其動手能力。

            2.4 對各環(huán)節(jié)之間的設(shè)計方案進行分析

            在運用EDA技術(shù)對單元電路進行仿真實驗之后,要對整個電路的可行性進行分析。因為在進行各個單元的仿真實驗中,都是對其一部分的性能進行檢驗,這也就使得即使各個環(huán)節(jié)都不存在問題,但在組合成一個整體時就可能存在各環(huán)節(jié)之間搭配不合理的狀況,從而使得整個系統(tǒng)的性能達不到預(yù)期的目標(biāo)。因此,在對各環(huán)節(jié)進行仿真實驗之后,要對各個環(huán)節(jié)的電路進行全面的分析,對于信號的輸入輸出關(guān)系、各環(huán)節(jié)接口的極性以及各環(huán)節(jié)的時序等方面進行深入的分析,從而得出電路設(shè)計中存在的沖突與矛盾,進而對其進行修改,制定出最佳的設(shè)計方案。

            2.5 組合各設(shè)計環(huán)節(jié)

            在對各個環(huán)節(jié)電路以及各環(huán)節(jié)電路之間的設(shè)計方案進行檢驗之后,要對整個設(shè)計方案進行仿真實驗,從而驗證整個設(shè)計的可行性。在按照設(shè)計要求設(shè)計出相應(yīng)的電路元件后,要對其進行反復(fù)的實驗與聯(lián)系,從而使得設(shè)計人員能夠熟練掌握該電路的設(shè)計方式。同時,由于在進行電子仿真實驗過程中,其元件都是采用的理想元件以及理想的連接工藝,而在實際的電路中,影響其性能穩(wěn)定性的因素很多。因此,在對電路的實體安裝過程中,要對其性能進行多次調(diào)試,以使其達到性能最優(yōu)點。

            3 實例分析

            3.1 組合邏輯電路的設(shè)計

            通過對電子電路設(shè)計的一般步驟可以推出組合邏輯電路的設(shè)計步驟為:分析問題,列表,求表達式,畫出電路圖。下面我們以判斷兩個輸入信號的電路是否同路的邏輯仿真設(shè)計為例展開討論。

            3.1.1 設(shè)定規(guī)則。將兩個輸入信號分別設(shè)定為A、B,其輸出信號設(shè)為X,當(dāng)A、B兩個信號的輸入電路相同時輸出X=0,當(dāng)A、B兩個型號輸入電路不同時其輸出為X=1。

            3.1.2 啟動電子工作平臺(EWB),進入其主界面,將該平臺的儀器數(shù)據(jù)庫打開,搜索其中的邏輯轉(zhuǎn)換儀,雙擊其圖標(biāo),以打開邏輯轉(zhuǎn)換儀的操作面板,在面板上的真值表區(qū)分別點擊A、B兩個邏輯變量,從而在面板的輸出區(qū)域建立一個二變量真值表,并根據(jù)相應(yīng)的要求在輸出變量列中輸入相應(yīng)的邏輯數(shù)值。

            3.1.3 在邏輯轉(zhuǎn)換儀中輸入相應(yīng)的輸入量后,在其面板上點擊“真值表→簡化邏輯表達式”選項,使得經(jīng)簡化的邏輯表達式在該面板底部的邏輯表達欄中顯示出來。

            3.1.4 簡化的邏輯表達式在邏輯轉(zhuǎn)換儀面板底部顯示出來之后,再選擇該面板上“表達式→與非邏輯電路”選項,之后在相應(yīng)的顯示區(qū)域顯示出由五個與非門組成的電路。

            3.1.5 在該電路設(shè)計出來之后,要對其邏輯功能進行測試,通過在兩個輸入端接入兩個開關(guān),其中一個選擇“+5V”,另一個選擇接地,其輸出端與指示燈相連接,然后接通開關(guān),根據(jù)指示燈的狀態(tài),對真值表里的狀態(tài)進行驗證。

            3.2 時序電路設(shè)計

            設(shè)計分頻器,其主要由JK觸發(fā)器組成。

            3.2.1 首先,對JK觸發(fā)器的邏輯功能進行測試。從相應(yīng)的數(shù)字器件庫中選用一個JK觸發(fā)器,要求該觸發(fā)器本身屬性為低電平觸發(fā)、置位與復(fù)位,按照下圖進行連接,閉合該電路中仿真開關(guān),然后打開邏輯分析儀面板,通過讀取上面的圖形以及參數(shù),對其進行分析,就能夠完成對JK觸發(fā)器邏輯功能的測試。

            圖2 時序電路設(shè)計

            3.2.2 通過利用JK觸發(fā)器的特性將觸發(fā)器按照要求進行組裝,使其具有相應(yīng)的分頻功能。一般來說,一個JK觸發(fā)器可以制成二分頻器,對兩個分頻器進行相應(yīng)的組合能夠組裝成四分頻器。根據(jù)設(shè)計的要求進行分析,得出在該電路設(shè)計中需要運用八分頻器,那么就需要將三個觸發(fā)器按照二進制導(dǎo)步計數(shù)器級連接的方式進行連接,從而得到八分頻器。將分頻器組裝好之后,要把時鐘的脈沖以及JK觸發(fā)器的Q端連人電路中,與邏輯分析儀相連接,就能夠獲得相應(yīng)的波形圖,通過波形圖就可以得到其輸出端電平高低位與時間脈沖的關(guān)系。

            4 認(rèn)識EDA技術(shù)的作用及其前景分析

            當(dāng)前,EDA技術(shù)的應(yīng)用越來越普遍,在電子電路設(shè)計領(lǐng)域所扮演的角色也越來越重要,這也就要求我們要正確認(rèn)識EDA技術(shù)的作用。在筆者看來,對于EDA技術(shù)正確應(yīng)用的教育與引導(dǎo)主要來源于教育者,這也就要求相關(guān)的教育者必須正視EDA技術(shù),合理充分的利用教學(xué)資源,積極采用新方法、新模式的教育教學(xué)方式,探索加快現(xiàn)代化教育進行的路徑。同時,在相應(yīng)的教育教學(xué)過程中,應(yīng)該將EDA技術(shù)引入到正規(guī)的教學(xué)課程中去,與相應(yīng)的實驗相結(jié)合,從而有效地加快學(xué)生對于這種技術(shù)的認(rèn)識。但是,在教育教學(xué)的過程中,一定要木著實事求是的原則,不能將其功能進行片面的夸大化,也不能僅僅依靠該種技術(shù)而取締傳統(tǒng)的手工實驗,導(dǎo)致學(xué)生實際動手能力與思考能力的發(fā)展受到限制。

            在筆者看來,EDA技術(shù)現(xiàn)在正是處于快速發(fā)展的階段,而隨著各種大規(guī)模的FPGA器件的不斷開發(fā),EDA技術(shù)在其仿真與設(shè)計這兩的方面的硬件標(biāo)準(zhǔn)得到了大幅度的發(fā)展。在未來的幾年內(nèi),EDA技術(shù)會不斷地向著大規(guī)模集成電路的方向發(fā)展,而且其軟硬件IP核在相關(guān)的應(yīng)用領(lǐng)域中將會得到進一步的確認(rèn),其SOC高效低本設(shè)計也會不斷地成熟。

            5 結(jié)語

            通過將EDA技術(shù)運用到電子電路的設(shè)計與仿真過程中,使得其學(xué)習(xí)方式向著新穎化與趣味化轉(zhuǎn)變,能夠充分的調(diào)動起學(xué)生學(xué)習(xí)的積極性,發(fā)揮學(xué)生的主觀能動性,從而有效地提高學(xué)生在電路的設(shè)計與分析方面的能力。同時,通過傳統(tǒng)的教學(xué)方式與仿真技術(shù)相結(jié)合,將虛擬技術(shù)應(yīng)用到實際的設(shè)計過程中,提高了對相應(yīng)的教育者的要求,加快了課改的進度,是推動社會主義現(xiàn)代化進程的一個有力手段。



          關(guān)鍵詞: EDA Multisiim

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();