<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 用VHDL實(shí)現(xiàn)的有線電視機(jī)頂盒信源發(fā)生方案

          用VHDL實(shí)現(xiàn)的有線電視機(jī)頂盒信源發(fā)生方案

          作者: 時(shí)間:2010-08-08 來(lái)源:網(wǎng)絡(luò) 收藏

            是隨著可編輯邏輯器件()的發(fā)展而發(fā)展起來(lái)的一種硬件描述語(yǔ)言。它是1980年美國(guó)國(guó)防部VHSIC(超高速集成電路)計(jì)劃的一部分,并于1986年和1987年分別成為美國(guó)國(guó)防部和IEEE的工業(yè)標(biāo)準(zhǔn)。作為一種硬件設(shè)計(jì)時(shí)采用的標(biāo)準(zhǔn)語(yǔ)言,具有極強(qiáng)的描述能力,能支持系統(tǒng)行為級(jí)、寄存器傳輸級(jí)和門(mén)級(jí)三個(gè)不同層次的設(shè)計(jì),這樣設(shè)計(jì)師將在TOP-DOWN設(shè)計(jì)的全過(guò)程中均可方便地使用同一種語(yǔ)言。而且,設(shè)計(jì)是一種“概念驅(qū)動(dòng)式”的高層設(shè)計(jì)技術(shù),設(shè)計(jì)人員毋需通過(guò)門(mén)級(jí)原理圖描述電路,而是針對(duì)目標(biāo)進(jìn)行功能描述,由于擺脫了電路細(xì)節(jié)的束縛,設(shè)計(jì)人員可以專心于設(shè)計(jì)方案和構(gòu)思上,因此設(shè)計(jì)工作省時(shí)省力,加快了設(shè)計(jì)周期,并且工藝轉(zhuǎn)換變得輕松。VHDL設(shè)計(jì)技術(shù)對(duì)可編程專用集成電路(ASIC)的發(fā)展起著極為重要的作用。

            自從微軟提出“維納斯”計(jì)劃后,便成為信息產(chǎn)業(yè)追逐的主要目標(biāo),也是信息家電中的主流產(chǎn)品。各國(guó)都在加緊對(duì)的開(kāi)發(fā),我國(guó)也提出了相應(yīng)的“女?huà)z”計(jì)劃,全國(guó)許多科研單位與生產(chǎn)廠家都在進(jìn)行這方面的研究。由于我國(guó)有線電視資源豐富,市場(chǎng)前景很大,因而對(duì)有線電視的研究也就格外引人注目。然而,由于我國(guó)還未完全開(kāi)展數(shù)字電視業(yè)務(wù),因而在機(jī)頂盒的調(diào)試過(guò)程中,要找到合適的信號(hào)源是很不容易的,不得不采用通過(guò)計(jì)算機(jī)輸出標(biāo)準(zhǔn)視頻碼流的方式來(lái)實(shí)現(xiàn)??纱蠖鄶?shù)計(jì)算機(jī)EISA總線并行輸出的數(shù)據(jù)速率都難以滿足實(shí)際工作的需要。雖然EISA總線可以一次輸出16位并行數(shù)據(jù),但這對(duì)于一次只能處理8位并行數(shù)據(jù)的器件來(lái)說(shuō),仍需要一個(gè)轉(zhuǎn)換過(guò)程。本文介紹了一種數(shù)據(jù)格式轉(zhuǎn)換的設(shè)計(jì)方案。該方案采用VHDL對(duì)一塊C芯片進(jìn)行編程,使其實(shí)現(xiàn)從16位并行數(shù)據(jù)到8位并行數(shù)據(jù)的轉(zhuǎn)換,并將EISA口的數(shù)據(jù)輸出速率提高一倍,達(dá)到要求。

            1 VHDL的特點(diǎn)

            VHDL是一種面向設(shè)計(jì)的、多層次、多領(lǐng)域且得一致認(rèn)同的、標(biāo)準(zhǔn)的硬件描述語(yǔ)言。它主要有如下特點(diǎn):

          • 能形式化地抽象表示電路的結(jié)構(gòu)和行為,降低了硬件電路設(shè)計(jì)的難度。
          • 采用自上到下(Top-Down)的設(shè)計(jì)方法,支持邏輯設(shè)計(jì)中層次與領(lǐng)域的描述;它支持三個(gè)層次的描述:行為描述、RTL方式描述、門(mén)級(jí)描述(邏輯綜合)。
          • 可進(jìn)行系統(tǒng)的早期仿真以保證設(shè)計(jì)的正確性。
          • 主要設(shè)計(jì)文件是VHDL語(yǔ)言編寫(xiě)的源程序,便于文檔管理。
          • 硬件描述與實(shí)現(xiàn)工藝無(wú)關(guān)。

            由于VHDL語(yǔ)言已作為一種IEEE的工業(yè)標(biāo)準(zhǔn),因而其語(yǔ)言標(biāo)準(zhǔn)、規(guī)范、語(yǔ)法比較嚴(yán)格,易于共享和復(fù)用。而且,VHDL設(shè)計(jì)技術(shù)齊全、方法靈活、支持廣泛。目前大多數(shù)EDA工具幾乎在不同程度上都支持VHDL語(yǔ)言。

            2 C外部引腳說(shuō)明

            該方案中所用的芯片是Xilinx公司的CPLD 9500系列芯片,其類型為XC95108-7 PC84。這種芯片共有84個(gè)外部引腳,其中5個(gè)引腳接地,6個(gè)引腳接電源,4個(gè)引腳用于JTAG,剩下的引腳為I/O引腳。根據(jù)EISA總線的信號(hào)特征和的要求,該芯片所使用的外部引腳為如圖1所示。

          該芯片所使用的外部引腳

            圖1中輸入信號(hào):

           ?。模粒裕粒撸桑?15~0 輸入的數(shù)據(jù)信號(hào)

           ?。粒模模遥牛樱?15~0 輸入的地址信號(hào)

           ?。遥牛樱牛?復(fù)位信號(hào)

           ?。粒牛?地址允許信號(hào)

           ?。茫蹋?輸入時(shí)鐘信號(hào)

           ?。桑希?I/O寫(xiě)信號(hào)

            輸出信號(hào):

           ?。桑希撸茫?16位I/O片選信號(hào)

           ?。模粒裕粒撸希眨?7~0 輸出的數(shù)據(jù)信號(hào)

            DEN 輸出數(shù)據(jù)使能信號(hào)

           ?。模茫蹋?輸出數(shù)據(jù)時(shí)鐘信號(hào)


          上一頁(yè) 1 2 3 下一頁(yè)

          關(guān)鍵詞: PLD VHDL 機(jī)頂盒 信源

          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();