<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> asml

          三井化學(xué)將量產(chǎn)光刻薄膜新品,支持ASML下一代光刻機

          • 日前,日本三井化學(xué)宣布將在其巖國大竹工廠設(shè)立碳納米管 (CNT) 薄膜生產(chǎn)線,開始量產(chǎn)半導(dǎo)體最尖端光刻機的零部件產(chǎn)品(保護半導(dǎo)體電路原版的薄膜材料“Pellicle”的新一代產(chǎn)品)。據(jù)悉,此種CNT薄膜可以實現(xiàn)92%以上的高EUV透射率和超過1kW曝光輸出功率的光阻能力。三井化學(xué)預(yù)期年產(chǎn)能力為5000張,生產(chǎn)線預(yù)計于2025年12月完工,可為ASML將推出的下一代高數(shù)值孔徑、高輸出EUV光刻機提供支持。
          • 關(guān)鍵字: 光刻機  納米管薄膜  ASML  

          可量產(chǎn)0.2nm工藝!ASML公布Hyper NA EUV光刻機:死胡同不遠了

          • 6月16日消息,ASML去年底向Intel交付了全球第一臺High NA EUV極紫外光刻機,同時正在研究更強大的Hyper NA EUV光刻機,預(yù)計可將半導(dǎo)體工藝推進到0.2nm左右,也就是2埃米。ASML第一代Low NA EUV光刻機孔徑數(shù)值只有0.33,對應(yīng)產(chǎn)品命名NXE系列,包括已有的3400B/C、3600D、3800E,以及未來的4000F、4200G、4X00。該系列預(yù)計到2025年可以量產(chǎn)2nm,再往后就得加入多重曝光,預(yù)計到2027年能實現(xiàn)1.4nm的量產(chǎn)。High NA光刻機升級到了
          • 關(guān)鍵字: ASML  光刻機  高NA EUV  0.2nm  

          光刻機巨頭 ASML 聯(lián)合創(chuàng)始人維姆?特魯斯特逝世,享年 98 歲

          • IT之家 6 月 12 日消息,光刻機巨頭 ASML 公司 6 月 11 日在社交媒體發(fā)文,悼念 ASML 創(chuàng)始人之一維姆?特魯斯特(Wim Troost)離世。另據(jù)《埃因霍溫日報》,Wim 于上周五(6 月 8 日)上午逝世,享年 98 歲。ASML 稱,“Wim Troost 去世了。Wim 是我們的創(chuàng)始元老之一,也是 1987 年至 1990 年期間的 CEO,那時 ASML 正努力爭取其第一個客戶。退休后,Wim 一直是 ASML 和高科技產(chǎn)業(yè)的真正大使。他激勵了一代又一代的后人。我們感
          • 關(guān)鍵字: ASML  維姆?特魯斯特  

          美國芯片管控引ASML吐槽:倒逼中國廠商造出更先進光刻機

          • 快科技6月7日消息,近日,ASML公司CEO公開表示,美國嚴厲的芯片管控規(guī)定,只會倒逼中國廠商進步更快。ASML CEO表示,多年來,公司都不用擔(dān)心設(shè)備的去向會受到政治限制,但突然之間,這卻變成了全世界最重要的話題之一。過去一段時間,美國一直在向荷蘭施壓,以阻止中國獲得關(guān)鍵的半導(dǎo)體技術(shù)。去年,荷蘭政府宣布了新的半導(dǎo)體設(shè)備出口管制措施,主要針對先進制程的芯片制造技術(shù),阿斯麥首當其沖。根據(jù)ASML今年1月1日發(fā)布的聲明,荷蘭政府撤銷的是2023年頒發(fā)的NXT:2050i和NXT:2100i光刻系統(tǒng)的出口許可證
          • 關(guān)鍵字: 美國芯片管控  ASML  光刻機  

          ASML:EUV光刻機已近極限 追趕技術(shù)還是另辟蹊徑?

          • ASML首席財務(wù)官達森(Roger Dassen)表示,EUV技術(shù)路線發(fā)展受歐美限制,且光刻機已接近技術(shù)極限,此一技術(shù)路線前景不明。積極尋求突破的中國廠商是持續(xù)投入資源突破現(xiàn)有限制進行技術(shù)跟隨?還是將資源另辟蹊徑尋找新的技術(shù)路徑?將面臨艱難的抉擇。 據(jù)《芯智訊》報導(dǎo),臺積電已經(jīng)訂購了High NA EUV(高數(shù)值孔徑極紫外光)光刻機,ASML與臺積電的商業(yè)談判即將結(jié)束,預(yù)計在第2季度或第3季度開始獲得大量 2nm芯片制造相關(guān)設(shè)備訂單。ASML預(yù)測其設(shè)備的市場需求有望一路走強至2026年,這主要是受益于各國
          • 關(guān)鍵字: ASML  EUV  光刻機  

          臺積電今年將拿到最新款光刻機

          • 6月6日消息,據(jù)外媒報道稱,ASML將在今年向臺積電交付旗下最先進的光刻機,單臺造價達3.8億美元。報道中提到,ASML首席財務(wù)官Roger Dassen在最近的一次電話會議上告訴分析師,公司兩大客戶臺積電和英特爾將在今年年底前獲得所謂的高數(shù)值孔徑(高NA)極紫外(EUV)光刻系統(tǒng)。英特爾此前已經(jīng)訂購了最新的高NA EUV設(shè)備,第一臺設(shè)備已于12月底運往俄勒岡州的一家工廠。目前尚不清楚ASML最大的EUV客戶臺積電何時會收到設(shè)備。據(jù)悉,這些機器每臺造價3.5億歐元(3.8億美元),重量相當于兩架空中客車A
          • 關(guān)鍵字: ASML  光刻機  高NA EUV  

          ASML今年將向臺積電交付最新款光刻機 單價3.8億美元

          • 6月6日消息,荷蘭光刻機制造商ASML今年將向臺積電交付其最新款光刻機。據(jù)公司發(fā)言人莫尼克·莫爾斯(Monique Mols)透露,首席財務(wù)官羅杰·達森(Roger Dassen)在近期的分析師電話會議中表示,包括臺積電和英特爾在內(nèi)的ASML兩大客戶都將在今年年底前拿到高數(shù)值孔徑極紫外線(high-NA EUV)光刻機。英特爾已經(jīng)下單購買了這款最新的光刻機,并于去年12月底將第一臺機器運至其位于俄勒岡州的工廠。目前尚不清楚臺積電何時會收到這些設(shè)備。臺積電代表表示,公司一直與供應(yīng)商保持密切合作,但拒絕對此事
          • 關(guān)鍵字: ASML  臺積電  光刻機  英特爾  半導(dǎo)體  

          ASML和IMEC啟用聯(lián)合High-NA EUV光刻實驗室

          • 自ASML官網(wǎng)獲悉,6月3日,比利時微電子研究中心(imec)與阿斯麥(ASML)宣布在荷蘭費爾德霍芬(Veldhoven)開設(shè)聯(lián)合High-NA EUV光刻實驗室(High NA EUV Lithography Lab),由ASML和imec共同運營。聲明中稱,經(jīng)過多年的構(gòu)建和集成,該實驗室已準備好為領(lǐng)先的邏輯和存儲芯片制造商以及先進材料和設(shè)備供應(yīng)商提供第一臺原型高數(shù)值孔徑EUV掃描儀(TWINSCAN EXE:5000)以及周圍的處理和計量工具。據(jù)悉,該聯(lián)合實驗室的開放是High-NA EUV大批量生
          • 關(guān)鍵字: 阿斯麥  ASML  EUV  

          臺積電CEO秘訪ASML,High-NA EUV光刻機競賽提前打響?

          • 5月26日,臺積電舉辦“2024年技術(shù)論壇臺北站”的活動,臺積電CEO魏哲家罕見的沒有出席,原因是其秘密前往荷蘭訪問位于埃因霍溫的ASML總部,以及位于德國迪琴根的工業(yè)激光專業(yè)公司TRUMPF。ASML CEO Christophe Fouquet和其激光光源設(shè)備供應(yīng)商TRUMPF CEO Nicola Leibinger-Kammüller近日通過社交媒體透露了魏哲家秘密出訪的行蹤。Christophe Fouquet表示他們向魏哲家介紹了最新的技術(shù)和新產(chǎn)品,包括High-NA EUV設(shè)備將如何實現(xiàn)未來
          • 關(guān)鍵字: 臺積電  ASML  High-NA  EUV  光刻機  

          臺積電不嫌ASML最新機臺貴? 魏哲家密訪歐洲內(nèi)幕

          • 臺積電晶圓代工事業(yè)遙遙領(lǐng)先,但高層顯然一點也沒有掉以輕心。據(jù)韓媒報導(dǎo),臺積電總裁魏哲家23日沒有出席在臺北舉行臺積電2024年術(shù)論壇,是因為他已經(jīng)前往歐洲秘密造訪艾司摩爾(ASML)荷蘭總部以及德國工業(yè)雷射大廠「創(chuàng)浦」(TRUMPF)。美國芯片大廠英特爾沖刺晶圓代工事業(yè),目前已成為ASML首臺最新型「High-NA EUV」(高數(shù)值孔徑極紫外光微影系統(tǒng))的買家。臺積電高層原本表示,臺積電A16先進制程節(jié)點并不一定需要這部機器,原因是價格太貴了。但據(jù)南韓媒體BusinessKorea報導(dǎo),臺積電總裁魏哲家這
          • 關(guān)鍵字: 臺積電  ASML  機臺  

          ASML最先進的光刻機,花落誰家?

          • 4月上旬,全球光刻機龍頭企業(yè)ASML發(fā)布了其最新一代極紫外線(EUV)光刻設(shè)備Twinscan NXE:3800E,該工具投影透鏡擁有0.33的數(shù)值孔徑,旨在滿足未來幾年對于尖端技術(shù)芯片的制造需求,包括3nm、2nm等小尺寸節(jié)點。ASML還計劃進一步推出另一代低數(shù)值孔徑(EUV)掃描儀Twinscan NXE:4000F,預(yù)計將于2026年左右發(fā)布。近日,據(jù)外媒消息,ASML截至2025上半年的高數(shù)值孔徑EUV(High-NA EUV)設(shè)備訂單由英特爾全部包攬,據(jù)悉,英特爾在宣布重新進入芯片代工業(yè)務(wù)時搶先
          • 關(guān)鍵字: ASML  半導(dǎo)體設(shè)備  EUV光刻機  

          英特爾宣布世界首臺商用 High NA EUV 光刻機完成組裝,計劃明年投入研發(fā)使用

          • IT之家 4 月 19 日消息,英特爾今日宣布其已在位于美國俄勒岡州希爾斯伯勒的 Fab D1X 研發(fā)晶圓廠完成世界首臺商用 High NA(0.55NA) EUV 光刻機的組裝工作,目前已進入光學(xué)系統(tǒng)校準階段。▲ 圖源英特爾新聞稿這臺光刻機型號 TWINSCAN EXE:5000,為 ASML 的首代 High NA EUV 光刻機,價值約 3.5 億美元(IT之家備注:當前約 25.38 億元人民幣)。就在不久前 ASML 宣布其在荷蘭埃因霍溫總部的另一臺 High NA EUV 光刻機成功
          • 關(guān)鍵字: 英特爾  ASML  光刻機  

          阿斯麥向客戶交付第二臺 High NA EUV 光刻機,買家身份成謎

          • IT之家 4 月 18 日消息,荷蘭半導(dǎo)體設(shè)備制造商阿斯麥(ASML)近日向一家未披露名稱的公司交付了其第二臺高數(shù)值孔徑 (NA) 極紫外 (EUV) 光刻機。這臺高端光刻機旨在制造比當前低 NA EUV 設(shè)備所能制造的更高密度的芯片。據(jù)路透社報道,第二臺高端光刻機的出貨意味著這一最新技術(shù)正逐漸被采用。然而,ASML 對買家身份諱莫如深,只能猜測其身份,路透社指出英特爾、臺積電和三星都是潛在客戶。事實上,英特爾已經(jīng)購買了首臺高數(shù)值孔徑 EUV 光刻機,用于其即將推出的 14A 制程節(jié)點。正如 A
          • 關(guān)鍵字: ASML  光刻機  

          美國:不準向中國廠商提供光刻機維修服務(wù)!ASML回應(yīng)

          • 4月18日消息,ASML公開表示,將繼續(xù)為中國大陸廠商提供設(shè)備維修服務(wù)。此前有消息稱,美國計劃向荷蘭施壓,試圖阻止ASML在中國提供部分設(shè)備的維修服務(wù)。在業(yè)績電話會上,ASML首席執(zhí)行官溫寧克回應(yīng)稱,“目前沒有什么可以阻止我們?yōu)樵谥袊箨懓惭b的設(shè)備提供服務(wù)。”光刻機是制造芯片的關(guān)鍵設(shè)備,中國大陸是ASML的第二大市場。因此,這種限制可能對中國的晶圓制造商產(chǎn)生重大影響,特別是對于維護產(chǎn)線穩(wěn)定運行所必需的光刻機核心部件的供應(yīng)和維護。之前外界擔(dān)心,受限的光刻機主要是NXT:2000i及更先進的機型,而其他未受限
          • 關(guān)鍵字: ASML  光刻機  

          ASML發(fā)布2024年第一季度財報

          • 阿斯麥(ASML)今日發(fā)布2024年第一季度財報。2024年第一季度,ASML實現(xiàn)凈銷售額53億歐元,毛利率為51.0%,凈利潤達12億歐元。今年第一季度的新增訂單金額為36億歐元2,其中6.56億歐元為EUV光刻機訂單。ASML預(yù)計2024年第二季度的凈銷售額在57億至62億歐元之間,毛利率介于50%到51%,預(yù)計2024年的凈銷售額將與2023年基本持平。1.累計裝機管理銷售額等于凈服務(wù)和升級方案?(field option)?銷售額的總和。2.訂單包括所有的系統(tǒng)銷售訂單和通脹調(diào)整
          • 關(guān)鍵字: ASML  2024年第一季度財報  
          共243條 2/17 « 1 2 3 4 5 6 7 8 9 10 » ›|
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();