<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> dds

          基于DDS的正弦信號發(fā)生器的設(shè)計

          • 文章介紹了一種基于DDS的正弦信號發(fā)生器的設(shè)計方法,對此正弦信號發(fā)生器的硬件部分進行了詳細的論述,并給出了系統(tǒng)的軟件流程框圖。仿真及硬件驗證的結(jié)果表明,此正弦信號發(fā)生器精度高,抗干擾性好,可作為一般的正弦信號發(fā)生器使用。此設(shè)計方案具有一定的實用性。
          • 關(guān)鍵字: STC89C52  AD9850  正弦信號發(fā)生器  DDS  

          基于DDS頻率源的設(shè)計與實現(xiàn)

          • 摘要 介紹了DDS的基本工作原理,闡述了DDS技術(shù)局限性,最終實現(xiàn)了一種基于FPGA+DDS可縭編程低相位噪聲的頻率源,輸出信號范圍170~228 MHz。測試結(jié)果表明,該頻率源具有高頻率分辨率和低相位噪聲等特點,能夠滿足通信
          • 關(guān)鍵字: DDS  頻率源    

          DDS技術(shù)在高頻石英晶體測試系統(tǒng)中的應(yīng)用

          • 在此介紹了一種以DDS芯片AD9912作為信號源的高頻石英晶體測試系統(tǒng)。AD9912是一款直接數(shù)字頻率合成芯片。一方面,AD9912內(nèi)部時鐘速度可高迭1 GSPS,并集成了14位數(shù)/模轉(zhuǎn)換器,可以直接輸出400 MHz信號,另一方面,AD9912的頻率控制字為48位,可以小于4 μHz的分辨率輸出信號。由于采用了DDS芯片AD9912作為信號源,所設(shè)計的石英晶體測試系統(tǒng)能夠在20kHz~400 MHz范圍內(nèi)測試石英晶體的串聯(lián)諧振頻率。與國內(nèi)目前普遍使用的基于振蕩器和阻抗計測試方法的測試儀相比,該測試
          • 關(guān)鍵字: DDS  高頻  測試系統(tǒng)  石英晶體    

          基于AD9912鏡像頻率的應(yīng)用

          • 摘要:常規(guī)DDS頻率合成方案無法合成超過1/2采樣頻率的信號頻率,這給DDS器件的應(yīng)用帶來了很大限制。在實際應(yīng)用中通過對DDS器件的輸出信號頻譜進行分析發(fā)現(xiàn),其頻譜中除包含設(shè)計頻率以外還包含特高頻(UHF)頻段的鏡像
          • 關(guān)鍵字: DDS  鏡像頻率  AD9912  特高頻信號  

          DDS的工作原理

          • DDS的基本原理是利用采樣定理,通過查表法產(chǎn)生波形。DDS的結(jié)構(gòu)有很多種,其基本的電路原理可用圖3 來表示。 相位累加器由N位加法器與N位累加寄存器級聯(lián)構(gòu)成。每來一個時鐘脈沖FS,加法器將頻率控制字K與累加寄存器輸
          • 關(guān)鍵字: DDS  工作原理    

          基于DDS IP核及Nios II的可重構(gòu)信號源設(shè)計

          • SOPC(System on a Programmable Chip,片上可編程系統(tǒng))是Altera公司提出的一種靈活、高效的SOC解決方案。它將處理器、存儲器、I/O接口、LVDS、CDR等系統(tǒng)設(shè)計需要的功能模塊集成到一個可編程邏輯器件上,構(gòu)建一個可
          • 關(guān)鍵字: Nios  DDS  IP核  可重構(gòu)    

          DDS的優(yōu)化設(shè)計介紹

          • 在高可靠應(yīng)用領(lǐng)域,如果設(shè)計得當,將不會存在類似于MCU的復位不可靠和PC可能跑飛等問題。CPLD/FPGA的高可靠性還表現(xiàn)在,幾乎可將整個系統(tǒng)下載于同一芯片中,實現(xiàn)所謂片上系統(tǒng),從而大大縮小了體積,易于管理和屏蔽。
          • 關(guān)鍵字: DDS  優(yōu)化設(shè)計    

          基于DDS器件AD9858的復雜雷達信號源簡介

          • 摘 要:本文簡要介紹DDS器件AD9858的系統(tǒng)結(jié)構(gòu)和基本原理,以及使用AD9858實現(xiàn)復雜雷達信號源的原理和方法,并以AD9858產(chǎn)生二相碼為例,說明了AD9858的基本特點和使用中應(yīng)該注意的一些問題。關(guān)鍵詞:復雜雷達信號源;二相
          • 關(guān)鍵字: 9858  DDS  AD  器件    

          DDS掃頻技術(shù)實現(xiàn)寄生電感測量儀

          • 本文介紹了一種利用LC 諧振原理測量電容自身寄生電感的方法。利用直接數(shù)字合成器產(chǎn)生可編程的掃頻信號激勵 ...
          • 關(guān)鍵字: DDS  掃頻技術(shù)  電感測量儀  

          基于CPLD及DDS的正交信號源濾波器的設(shè)計

          • 1 引言由于傳統(tǒng)的多波形函數(shù)信號發(fā)生器需采用大量分離元件才能實現(xiàn),且設(shè)計復雜,這里提出一種基于CPLD的多波形函數(shù)信號發(fā)生器。它采用CPLD作為函數(shù)信號發(fā)生器的處理器,以單片機和CPLD為核心,輔以必要的模擬和數(shù)字
          • 關(guān)鍵字: CPLD  DDS  信號源  濾波器    

          直接數(shù)字頻率合成器DDS的優(yōu)化設(shè)計

          • 新一代的直接數(shù)字頻率合成器DDS,采用全數(shù)字的方式實現(xiàn)頻率合成。與傳統(tǒng)的頻率合成技術(shù)相比DDS具有以下特點:(1)頻率轉(zhuǎn)換快。直接數(shù)字頻率合成是一個開環(huán)系統(tǒng),無任何反饋環(huán)節(jié),其頻率轉(zhuǎn)換時間主要由頻率控制字狀態(tài)改
          • 關(guān)鍵字: DDS  數(shù)字頻率合成器  優(yōu)化設(shè)計    

          高效FSK/PSK調(diào)制器利用多通道DDS實現(xiàn)零交越切換

          • 頻移鍵控 (FSK)和相移鍵控 (PSK) 調(diào)制方案廣泛用于數(shù)字通信、雷達、RFID以及多種其他應(yīng)用。最簡單的FSK利用兩個離散頻率來傳輸二進制信息,其中,邏輯1代表傳號頻率,邏輯0代表空號頻率。最簡單的PSK為二進制(BPSK)
          • 關(guān)鍵字: DDS  實現(xiàn)  切換  通道  利用  FSK/PSK  調(diào)制器  高效  

          基于FPGA的DDS基本信號發(fā)生器的設(shè)計

          • 摘要:本設(shè)計基于DDS原理和FPGA技術(shù)按照順序存儲方式,將對正弦波、方波、三角波、鋸齒波四種波形的取樣數(shù)據(jù)依次全部存儲在ROM波形表里,通過外接設(shè)備撥扭開關(guān)和鍵盤控制所需波形信號的輸出,最終將波形信息顯示在LC
          • 關(guān)鍵字: FPGA  DDS  信號發(fā)生器    

          基于DDS+PLL技術(shù)的高頻時鐘發(fā)生器

          • 1引言高性能合成頻率廣泛應(yīng)用在現(xiàn)代通信、雷達和電子測量等技術(shù)領(lǐng)域中。頻率合成方法主要有3種...
          • 關(guān)鍵字: DDS  PLL技術(shù)  高頻時鐘  

          先進的DDS技術(shù)讓任意波形發(fā)生器實現(xiàn)“繪畫”功能

          • 1971年3月,美國學者J.Tierncy,C.M.Rader和B.Gold最先提出直接數(shù)字合成技術(shù)(DirectDigitalSynthesis),簡稱D...
          • 關(guān)鍵字: DDS  任意波形發(fā)生器  頻率合成  
          共260條 6/18 |‹ « 4 5 6 7 8 9 10 11 12 13 » ›|

          dds介紹

          DDS的簡單介紹   DDS同 DSP(數(shù)字信號處理)一樣,是一項關(guān)鍵的數(shù)字化技術(shù)。DDS是直接數(shù)字式頻率合成器(Direct Digital Synthesizer)的英文縮寫。與傳統(tǒng)的頻率合成器相比,DDS具有低成本、低功耗、高分辨率和快速轉(zhuǎn)換時間等優(yōu)點,廣泛使用在電信與電子儀器領(lǐng)域,是實現(xiàn)設(shè)備全數(shù)字化的一個關(guān)鍵技術(shù)。   一塊DDS芯片中主要包括頻率控制寄存器、高速相位累加器和正弦計算 [ 查看詳細 ]
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();