<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁(yè)  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問(wèn)答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁(yè) >> 主題列表 >> dsp+fpga

          將DSP設(shè)計(jì)擴(kuò)展為異構(gòu)硬件平臺(tái)

          •   您可以在自動(dòng)流程中將一個(gè) FPGA 協(xié)處理器添加到 DSP 系統(tǒng)中。   視頻、影像和電信市場(chǎng)的標(biāo)準(zhǔn)推動(dòng)了異構(gòu)可重配置 DSP 硬件平臺(tái)的使用。就本文而言,這些平臺(tái)包括 DSP 處理器和 FPGA,它們提供的現(xiàn)成硬件解決方案可以解決視頻、影像和電信設(shè)計(jì)中的重大難題,但仍不失產(chǎn)品差異化所需的足夠的可定制性。   據(jù)市場(chǎng)研究公司 Forward Concepts 于 2005 年發(fā)表的一則調(diào)查報(bào)告(圖 1)稱,選擇處理器和 FPGA 的主要標(biāo)準(zhǔn)不是器件本身,而是開發(fā)它們的工具。這一概念對(duì)于包含 FPG
          • 關(guān)鍵字: FPGA DSP   

          基于DSP的光伏并網(wǎng)發(fā)電系統(tǒng)數(shù)字鎖相技術(shù)

          •   引言   在光伏并網(wǎng)發(fā)電系統(tǒng)中,需要實(shí)時(shí)檢測(cè)電網(wǎng)電壓的相位和頻率以控制并網(wǎng)逆變器,使其輸出電流與電網(wǎng)電壓相位及頻率保持同步,即同步鎖相。同步鎖相是光伏并網(wǎng)系統(tǒng)一項(xiàng)關(guān)鍵的技術(shù),其控制精確度直接影響到系統(tǒng)的并網(wǎng)運(yùn)行件能。倘若鎖相環(huán)電路不可靠,在逆變器與電網(wǎng)并網(wǎng)工作切換過(guò)群中會(huì)產(chǎn)生逆變器與電網(wǎng)之間的環(huán)流,對(duì)沒(méi)備造成沖擊,這樣會(huì)縮短設(shè)備使用壽命,嚴(yán)重時(shí)還會(huì)造成設(shè)備的損壞。   TI公司生產(chǎn)的高速數(shù)字信號(hào)處理器TMS320C2000系列,不僅體積小、功耗小、可靠性高,而且內(nèi)部集成了12路PWM發(fā)生器、6路C
          • 關(guān)鍵字: DSP  

          AC-Link數(shù)字音頻VHDL編/解碼的FPGA設(shè)計(jì)

          •   引言   數(shù)字音頻處理是指為真實(shí)再現(xiàn)聲音的逼真效果而對(duì)音頻進(jìn)行的編解碼處理技術(shù),它是寬帶網(wǎng)絡(luò)多媒體、移動(dòng)多媒體通信的關(guān)鍵技術(shù).Audio Codec′97(音頻數(shù)字信號(hào)編/解碼器)是其中一種用于聲音錄放的技術(shù)標(biāo)準(zhǔn),簡(jiǎn)稱AC′97. AC′97采用雙集成結(jié)構(gòu),即Digital Controller(數(shù)字信號(hào)控制器)和Audio Codec(音頻編解碼),使模/數(shù)轉(zhuǎn)換器ADC和數(shù)?模轉(zhuǎn)換器DAC轉(zhuǎn)換模塊獨(dú)立,盡可能降低EMI(電磁干擾)的影響。   利用FPGA,可
          • 關(guān)鍵字: FPGA VHDL  

          基于主從式雙處理器的光纖比色測(cè)溫儀軟件設(shè)計(jì)

          •   摘要:   介紹一種基于DSP和MCU雙處理器的內(nèi)調(diào)制光纖比色測(cè)溫儀的設(shè)計(jì)原理。測(cè)溫儀以AT89C55和TMS320F206為核心,對(duì)內(nèi)調(diào)制光電探測(cè)器進(jìn)行線性補(bǔ)償和溫度補(bǔ)償,并加入比輻射率的修正。本系統(tǒng)能夠?qū)Νh(huán)境溫度變化大、周圍環(huán)境惡劣的高溫物體進(jìn)行高精度的溫度測(cè)量。   在冶金、鋼鐵、建筑材料、化工等眾多行業(yè)中,溫度是確保順利生產(chǎn)和質(zhì)量控制的重要參數(shù)。溫度測(cè)量直接關(guān)系到產(chǎn)品的質(zhì)量,關(guān)系到生產(chǎn)成本。熔融狀態(tài)下,鋼、鐵溫度在1200℃以上,主要測(cè)量方法有接觸式的金屬熱電偶溫度計(jì)和非接觸式的輻射溫度計(jì)
          • 關(guān)鍵字: DSP MCU  

          基于DSP控制的燃料電池客車用DC/DC變換器研究

          • 簡(jiǎn)要介紹了研究燃料電池客車用數(shù)字化DC/DC變換器的意義,以Boost變換器為例分析了DC/DC變換器主電路工作原理,設(shè)計(jì)了基于TMS320LF2407A的控制系統(tǒng)硬件電路平臺(tái)以及控制系統(tǒng)的軟件,并給出了燃料電池客車用90 kW Boost變換器試驗(yàn)結(jié)果及其技術(shù)參數(shù)。
          • 關(guān)鍵字: DC/DC  變換器  研究  客車  電池  DSP  控制  燃料  基于  

          AC-Link數(shù)字音頻VHDL編/解碼的FPGA設(shè)計(jì)

          • ?????? 提出一種利用FPGA實(shí)現(xiàn)AC-Link數(shù)字音頻處理的設(shè)計(jì)方案.AC-Link音頻編解碼系統(tǒng)以VHDL模塊進(jìn)行設(shè)計(jì),經(jīng)過(guò)波形仿真和結(jié)果驗(yàn)證后,將程序下載到FPGA中實(shí)現(xiàn)1這種設(shè)計(jì)方法可以縮短設(shè)計(jì)周期,提高設(shè)計(jì)的可靠性和效率。    ??????? 引言 ??????? 數(shù)字音頻處理是指為真
          • 關(guān)鍵字: AC-Link VHDL FPGA   

          FPGA在語(yǔ)音存儲(chǔ)與回放系統(tǒng)中的應(yīng)用

          •   1 引言   隨著數(shù)字信號(hào)處理器、超大規(guī)模集成電路的高速發(fā)展,語(yǔ)音記錄技術(shù)已從模擬錄音階段過(guò)渡到數(shù)字錄音階段。在數(shù)字化錄音技術(shù)中,壓縮后的語(yǔ)音數(shù)據(jù)有些存儲(chǔ)在硬盤中,有些存儲(chǔ)在帶有掉電保護(hù)功能的RAM或FLASH存儲(chǔ)器中。筆者介紹的語(yǔ)音存儲(chǔ)與回放系統(tǒng),未使用專用的語(yǔ)音處理芯片,不需要擴(kuò)展接口電路,只利用FPGA作為核心控制器,就能完成語(yǔ)音信號(hào)的數(shù)字化處理,即實(shí)現(xiàn)語(yǔ)音的存儲(chǔ)與回放。   2 系統(tǒng)總體結(jié)構(gòu)   數(shù)字化語(yǔ)音存儲(chǔ)與回放系統(tǒng)的基本工作原理是將模擬語(yǔ)音信號(hào)通過(guò)模數(shù)轉(zhuǎn)換器(A/D)轉(zhuǎn)換成數(shù)字信號(hào)
          • 關(guān)鍵字: FPGA  

          基于DVD-ROM應(yīng)用的內(nèi)容加擾系統(tǒng)的VLSI設(shè)計(jì)

          •   摘 要:本文介紹了一種基于DVD-ROM應(yīng)用的內(nèi)容干擾系統(tǒng)(CSS)的設(shè)計(jì)和實(shí)現(xiàn)。該系統(tǒng)可有效防止對(duì)DVD盤片的非法拷貝。文中通過(guò)對(duì)其工作原理和實(shí)際應(yīng)用的分析,給出其最終實(shí)現(xiàn)方案,并用Verilog HDL完整整個(gè)系統(tǒng)的設(shè)計(jì)。功能仿真和FPGA驗(yàn)證表明,設(shè)計(jì)成功。   關(guān)鍵詞:內(nèi)容加擾系統(tǒng);DVD;Verilog HDL;FPGA驗(yàn)證   引 言   DVD-ROM的視頻和音頻數(shù)據(jù)是經(jīng)過(guò)加密編碼的,加擾的源是標(biāo)題密鑰(Tittle Key),同時(shí)標(biāo)題密鑰被光盤密鑰(光盤密鑰)加密,主機(jī)在播放影碟
          • 關(guān)鍵字: FPGA DVD CSS VLSI   

          定點(diǎn)DSP C55X實(shí)現(xiàn)浮點(diǎn)相關(guān)運(yùn)算

          •   引 言   DSP結(jié)構(gòu)可以分為定點(diǎn)和浮點(diǎn)型兩種。其中,定點(diǎn)型DSP可以實(shí)現(xiàn)整數(shù)、小數(shù)和特定的指數(shù)運(yùn)算,它具有運(yùn)算速度快、占用資源少、成本低等特點(diǎn);靈活地使用定點(diǎn)型DSP進(jìn)行浮點(diǎn)運(yùn)算能夠提高運(yùn)算的效率。目前對(duì)定點(diǎn)DSP結(jié)構(gòu)支持下的浮點(diǎn)需求也在不斷增長(zhǎng),主要原因是:實(shí)現(xiàn)算法的代碼往往是采用C/C++編寫,如果其中有標(biāo)準(zhǔn)型的浮點(diǎn)數(shù)據(jù)處理,又必須采用定點(diǎn)DSP器件,那么就需要將浮點(diǎn)算法轉(zhuǎn)換成定點(diǎn)格式進(jìn)行運(yùn)算。同時(shí),定點(diǎn)DSP結(jié)構(gòu)下的浮點(diǎn)運(yùn)算有很強(qiáng)的可行性,因?yàn)镃語(yǔ)言和匯編語(yǔ)言分別具有可移植性強(qiáng)和運(yùn)算效率高的
          • 關(guān)鍵字: DSP  

          使用FPGA測(cè)試的一些有效方法

          • 引言   隨著芯片設(shè)計(jì)技術(shù)越來(lái)越成熟,越來(lái)越多的產(chǎn)品選擇使用SoC(System on Chip)的技術(shù)實(shí)現(xiàn)。然而,每一次流片不一定都能達(dá)到預(yù)期的效果。根據(jù)Synopsys公司統(tǒng)計(jì),有超過(guò)60%的公司需要重新流片(respin)。在這個(gè)過(guò)程中浪費(fèi)了大量的金錢,一次修正平均的花費(fèi)就超過(guò)100萬(wàn)美元。如果一旦錯(cuò)過(guò)了商品推出的最佳時(shí)機(jī),那么錯(cuò)過(guò)市場(chǎng)機(jī)會(huì)的代價(jià)則以數(shù)千萬(wàn)美元計(jì),甚至更高。據(jù)統(tǒng)計(jì),在需要respin的芯片中有43%是在前端的設(shè)計(jì)和實(shí)現(xiàn)的時(shí)候產(chǎn)生的邏輯功能錯(cuò)誤。如何避免或減小如此高的風(fēng)險(xiǎn)是每一
          • 關(guān)鍵字: FPGA  

          基于FPGA系統(tǒng)易測(cè)試性的研究

          • 引 言 現(xiàn)代科技對(duì)系統(tǒng)的可靠性提出了更高的要求,而FPGA技術(shù)在電子系統(tǒng)中應(yīng)用已經(jīng)非常廣泛,因此FPGA易測(cè)試性就變得很重要。要獲得的FPGA內(nèi)部信號(hào)十分有限、FPGA封裝和印刷電路板(PCB)電氣噪聲,這一切使得設(shè)計(jì)調(diào)試和檢驗(yàn)變成設(shè)計(jì)中最困難的一個(gè)流程。另一方面,當(dāng)前幾乎所有的像CPU、DSP、ASIC等高速芯片的總線,除了提供高速并行總線接口外,正迅速向高速串行接口的方向發(fā)展,F(xiàn)PGA也不例外。每一條物理鏈路的速度從600 Mbps到10 Gbps,高速I/O的測(cè)試和驗(yàn)證更成為傳統(tǒng)專注于FPG
          • 關(guān)鍵字: FPGA  

          基于FPGA的USB2.0虛擬邏輯分析儀的設(shè)計(jì)與實(shí)現(xiàn)

          • 引言   傳統(tǒng)的邏輯分析儀體積龐大、價(jià)格昂貴、通道數(shù)目有限,并且在數(shù)據(jù)采集、傳輸、存儲(chǔ)、顯示等方面存在諸多限制,在很大程度上影響了其在實(shí)際中的應(yīng)用。選用高性能的FPGA芯片進(jìn)行數(shù)據(jù)處理,充分利用PC的強(qiáng)大處理功能,配合LabView圖形化語(yǔ)言開發(fā)的虛擬邏輯分析儀,其數(shù)據(jù)處理和傳輸速率大大提高,適用性極大增強(qiáng),其顯示、操作界面和低廉的成本較之傳統(tǒng)的邏輯分析儀具有極大的優(yōu)勢(shì)和發(fā)展前景。   工作原理   本設(shè)計(jì)選用Altera公司的Cyclone系列FPGA器件EP1C3進(jìn)行數(shù)據(jù)采集和處理,外接SRAM
          • 關(guān)鍵字: FPGA  

          采用FPGA實(shí)現(xiàn)廣播視頻基礎(chǔ)系統(tǒng)設(shè)計(jì)

          TMS320C6000系列DSP的軟件優(yōu)化技術(shù)

          •   1 DSP系統(tǒng)的軟件優(yōu)化流程   DSP系統(tǒng)的軟件優(yōu)化流程如圖l所示。整個(gè)工作流程分為3個(gè)階段:   第l階段,直接根據(jù)需要用高級(jí)C語(yǔ)言實(shí)現(xiàn)DSP功能,測(cè)試代碼的正確性。然后,移植到C6X平臺(tái),利用C6X開發(fā)環(huán)境Profile測(cè)試程序的運(yùn)行時(shí)間。若不滿足要求,則進(jìn)入下一階段。   第2階段,利用C6X提供的優(yōu)化方式和其他各種優(yōu)化技巧,如使用不同的編譯器選項(xiàng)使能軟件流水,循環(huán)展開,字存取代替半字存取等,優(yōu)化C語(yǔ)言代碼。如果還不能滿足要求,則進(jìn)入第3階段。   第3階段,將C語(yǔ)言代碼中耗時(shí)最長(zhǎng)的部
          • 關(guān)鍵字: DSP  

          基于FPGA的步進(jìn)電機(jī)控制器設(shè)計(jì)

          •   步進(jìn)電機(jī)是一種將電脈沖信號(hào)轉(zhuǎn)換成相應(yīng)的角位移的特殊電機(jī),每改變一次通電狀態(tài),步進(jìn)電機(jī)的轉(zhuǎn)子就轉(zhuǎn)動(dòng)一步。目前大多數(shù)步進(jìn)電機(jī)控制器需要主控制器發(fā)送時(shí)鐘信號(hào),并且要至少一個(gè)I/O口來(lái)輔助控制和監(jiān)控步進(jìn)電機(jī)的運(yùn)行情況。在單片機(jī)或DSP的應(yīng)用系統(tǒng)中,經(jīng)常配合CPLD或者FPGA來(lái)實(shí)現(xiàn)特定的功能。本文介紹通過(guò)FPGA實(shí)現(xiàn)的步進(jìn)電機(jī)控制器。該控制器可以作為單片機(jī)或DSP的一個(gè)直接數(shù)字控制的外設(shè),只需向控制器的控制寄存器和分頻寄存器寫入數(shù)據(jù),即町實(shí)現(xiàn)對(duì)步進(jìn)電機(jī)的控制。   1 步進(jìn)電機(jī)的控制原理   步進(jìn)電機(jī)是數(shù)
          • 關(guān)鍵字: FPGA  
          共9854條 571/657 |‹ « 569 570 571 572 573 574 575 576 577 578 » ›|

          dsp+fpga介紹

          您好,目前還沒(méi)有人創(chuàng)建詞條dsp+fpga!
          歡迎您創(chuàng)建該詞條,闡述對(duì)dsp+fpga的理解,并與今后在此搜索dsp+fpga的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();