<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁(yè)  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問(wèn)答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁(yè) >> 主題列表 >> flash fpga

          SOPC進(jìn)階,自定義AD轉(zhuǎn)換IP核設(shè)計(jì)全流

          • 今天帶大家來(lái)設(shè)計(jì)一個(gè)自定義的IP核,我們從最基本的做起,包括datasheet 的理解,設(shè)計(jì)的整體框架,AD轉(zhuǎn)換代碼的編寫,仿真,Avalon-MM總線接口的編寫,硬件系統(tǒng)還是基于上次的硬件系統(tǒng),不過(guò)我們不再用altera給我們
          • 關(guān)鍵字: fpga    sopc  

          如何用FPGA實(shí)現(xiàn)4G無(wú)線球形檢測(cè)器

          • MIMO無(wú)線系統(tǒng)最佳硬判決檢測(cè)方式是最大似然檢測(cè)器。ML檢測(cè)因?yàn)楸忍卣`碼率 (BER)性能出眾,非常受歡迎。不過(guò),直接實(shí)施的復(fù)雜性會(huì)隨著天線和調(diào)制方案的增加呈指數(shù)級(jí)增強(qiáng),使ASIC或FPGA僅能用于使用少數(shù)天線的低密度調(diào)
          • 關(guān)鍵字: FPGA  MIMO  

          基于圖像增強(qiáng)的去霧快速算法的FPGA實(shí)現(xiàn)

          • 摘要:基于圖像增強(qiáng)方法,本文提出了一種使用亮度映射的圖像去霧快速算法。此算法通過(guò)調(diào)整室外多霧場(chǎng)景圖像的對(duì)比度,提高了霧中物體的辨識(shí)度。算法的復(fù)雜度低、處理延遲小,實(shí)時(shí)性高,利于FPGA的實(shí)現(xiàn)。實(shí)現(xiàn)時(shí)不需外
          • 關(guān)鍵字: 圖像增強(qiáng)  實(shí)時(shí)去霧  FPGA 亮度映射  

          十年漫長(zhǎng)探索 硬件仿真技術(shù)終成主流

          • 現(xiàn)在,無(wú)需再為堆積如山的驗(yàn)證報(bào)告一籌莫展了,要知道,硬件仿真已成為主流,這讓我們得以告別滿是灰塵的車間,將工作轉(zhuǎn)移到電腦桌面上。這一轉(zhuǎn)變并非一夜之間發(fā)生的,而更像是一段持續(xù)了十年的漫長(zhǎng)旅程 — 但
          • 關(guān)鍵字: 硬件仿真  芯片設(shè)計(jì)  FPGA  處理器  

          JESD204B轉(zhuǎn)換器內(nèi)確定性延遲解密

          • 對(duì)于需要一系列同步模數(shù)轉(zhuǎn)換器(ADC)的高速信號(hào)采樣和處理應(yīng)用,轉(zhuǎn)換器具有去相位偏移和匹配延遲變化的能力至關(guān)重要。圍繞該特性展開(kāi)的系統(tǒng)設(shè)計(jì)極為關(guān)鍵,因?yàn)閺哪M采樣點(diǎn)到處理模塊之間的任何延遲失配都會(huì)使性能下
          • 關(guān)鍵字: JESD204B    ADC    FPGA  

          基于BF533和FPGA的雷達(dá)信號(hào)模擬器設(shè)計(jì)實(shí)現(xiàn)

          • 隨著軍事技術(shù)的高速發(fā)展,現(xiàn)代雷達(dá)系統(tǒng)面臨著嚴(yán)峻的挑戰(zhàn)。為適應(yīng)新形勢(shì),在現(xiàn)代數(shù)字信號(hào)處理技術(shù)和數(shù)字計(jì)算機(jī)高速發(fā)展的基礎(chǔ)上,計(jì)算機(jī)仿真技術(shù)得到廣泛應(yīng)用,這也促使雷達(dá)信號(hào)模擬技術(shù)快速發(fā)展。雷達(dá)信號(hào)模擬器是現(xiàn)
          • 關(guān)鍵字: 雷達(dá)信號(hào)模擬器  DSP  FPGA  數(shù)字頻率合成  

          基于DSP的某導(dǎo)航計(jì)算機(jī)模塊的設(shè)計(jì)

          • 摘要:隨著現(xiàn)代導(dǎo)航技術(shù)的發(fā)展,慣性導(dǎo)航作為一種自主導(dǎo)航技術(shù)已經(jīng)廣泛應(yīng)用于多種武器系統(tǒng)中,而導(dǎo)航計(jì)算機(jī)又是捷聯(lián)式慣導(dǎo)的核心部件。文章提出了一種采用基于DSP的某型導(dǎo)航計(jì)算機(jī)模塊的解決方案,設(shè)計(jì)方案采用雙處理
          • 關(guān)鍵字: DSP  CAN  FPGA  光電隔離  慣導(dǎo)  

          多通道實(shí)時(shí)陣列信號(hào)處理系統(tǒng)的設(shè)計(jì)

          • 摘要:以全數(shù)字化信號(hào)產(chǎn)生和數(shù)字波束形成處理為基礎(chǔ)的數(shù)字化陣列雷達(dá)已成為當(dāng)代相控陣?yán)走_(dá)技術(shù)發(fā)展的一個(gè)重要趨勢(shì),本文針對(duì)現(xiàn)代數(shù)字化陣列雷達(dá)對(duì)多通道數(shù)據(jù)采集和實(shí)時(shí)處理的需求,設(shè)計(jì)了一種基于FPGA的多通道實(shí)時(shí)陣
          • 關(guān)鍵字: 陣列信號(hào)  多通道采集  FPGA  數(shù)字波束合成  

          FPGA組成、工作原理和開(kāi)發(fā)流程

          • 1. FPGA概述FPGA是英文Field Programmable Gate Array的縮寫,即現(xiàn)場(chǎng)可編程門陣列,它是在PAL、GAL、EPLD等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物。它是作為專用集成電路(ASIC)領(lǐng)域中的一種半定制電路而出現(xiàn)的,既解決
          • 關(guān)鍵字: FPGA  工作原理  開(kāi)發(fā)流程  

          嵌入式系統(tǒng)的自適應(yīng)前照燈系統(tǒng)設(shè)計(jì)

          • 摘要:為了改善駕駛員在夜間或能見(jiàn)度較低環(huán)境下的視野范圍,提高行駛的安全性,介紹了一種基于嵌入式系統(tǒng)的汽車自適應(yīng)前照燈系統(tǒng)的設(shè)計(jì)方案。此系統(tǒng)中的前照燈控制器采用FPGA來(lái)控制CAN總線控制器、數(shù)/模轉(zhuǎn)換器和全橋
          • 關(guān)鍵字: 步進(jìn)電機(jī)  FPGA  MCP2510  LMD18245  

          基于Verilog的多路相干DDS信號(hào)源設(shè)計(jì)

          • 摘要:傳統(tǒng)的多路同步信號(hào)源常采用單片機(jī)搭載多片專用DDS芯片配合實(shí)現(xiàn)。該技術(shù)實(shí)現(xiàn)復(fù)雜,且在要求各路同步相干可控時(shí)難以實(shí)現(xiàn)。本文在介紹了DDS原理的基礎(chǔ)上,給出了用Verilog_HDL語(yǔ)言實(shí)現(xiàn)相干多路DDS的工作原理、設(shè)
          • 關(guān)鍵字: DDS  現(xiàn)場(chǎng)可編程門陣列(FPGA)  相位累加器  Verilog_HDL  

          提高M(jìn)SP430G 系列單片機(jī)的Flash 擦寫壽命的方法

          • 摘要在嵌入式設(shè)計(jì)中,許多應(yīng)用設(shè)計(jì)都需要使用EEPROM 存儲(chǔ)非易失性數(shù)據(jù),由于成本原因,某些單片機(jī)在芯片內(nèi)部并沒(méi)有集成EEPROM。MSP430G 系列處理器是TI 推出的低成本16 位處理器,在MSP430G 系列單片機(jī)中并不具備E
          • 關(guān)鍵字: MSP430G  單片機(jī)  Flash    

          基于CPLD的FPGA從并快速加載方案

          • 現(xiàn)場(chǎng)可編程門陣列(FPGA)作為專用集成電路(ASIC)領(lǐng)域的一種半定制電路,可以根據(jù)設(shè)計(jì)的需要靈活實(shí)現(xiàn)各種接口或者總線的輸出,在設(shè)備端的通信產(chǎn)品中已得到越來(lái)越廣泛的使用。FPGA 是基于靜態(tài)隨機(jī)存儲(chǔ)器(SRAM)結(jié)構(gòu)的,
          • 關(guān)鍵字: FPGA    CPLD    控制器    從并    加載  

          單片機(jī),ARM,F(xiàn)PGA,嵌入式這些有什么區(qū)別,各自特點(diǎn)是什么?

          • 單片機(jī)的特點(diǎn):(1)受集成度限制,片內(nèi)存儲(chǔ)器容量較小,一般內(nèi)ROM:8KB以下;(2)內(nèi)RAM:256KB以內(nèi)。(3)可靠性高(4)易擴(kuò)展(5)控制功能強(qiáng)(6)易于開(kāi)發(fā)ARM的特點(diǎn):(1) 自帶廉價(jià)的程序存儲(chǔ)器(FLASH)和非易失的數(shù)據(jù)存儲(chǔ)器(E
          • 關(guān)鍵字: 單片機(jī)  嵌入式  FPGA  ARM  

          NAND FLASH扇區(qū)管理

          • 首先需要了解NAND FLASH的結(jié)構(gòu)。如圖:以鎂光MT29F4G08BxB Nand Flash為例,這款Flash(如上圖)以4個(gè)扇區(qū)(sector)組成1個(gè)頁(yè)(page),64個(gè)頁(yè)(page)組成1個(gè)塊(block),4096個(gè)塊(block)構(gòu)成整個(gè)Flash存儲(chǔ)器;由于每個(gè)扇區(qū)
          • 關(guān)鍵字: Flash  NAND  扇區(qū)管理  
          共6827條 114/456 |‹ « 112 113 114 115 116 117 118 119 120 121 » ›|

          flash fpga介紹

          您好,目前還沒(méi)有人創(chuàng)建詞條flash fpga!
          歡迎您創(chuàng)建該詞條,闡述對(duì)flash fpga的理解,并與今后在此搜索flash fpga的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹(shù)莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();