<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> flash fpga

          一種基于FPGA的溫度自動(dòng)控制系統(tǒng)研究設(shè)計(jì)

          • 溫度控制系統(tǒng)應(yīng)用廣泛,溫度是一個(gè)重要而普遍的熱工參數(shù)。常規(guī)的溫度控制方法是設(shè)定一個(gè)溫度范圍,超出設(shè)定...
          • 關(guān)鍵字: FPGA  溫度自動(dòng)控制  傳感器  LM35  

          賽靈思與VSofts演示基于賽靈思FPGA的低延時(shí)實(shí)時(shí)H.264/AVC-I IP核壓縮解決方案

          •   球可編程平臺領(lǐng)導(dǎo)廠商賽靈思公司與Vanguard Software Solutions 公司 (VSofts) 在 IBC2010 大會(huì)上聯(lián)合演示了 VSofts H.264/AVC-I IP 核的強(qiáng)大功能:能實(shí)現(xiàn)超低延時(shí),且其現(xiàn)場可編程門陣列 (FPGA) 實(shí)施方案不僅符合國際電信聯(lián)盟 (ITU) 和 Panasonic AVC-Intra 標(biāo)準(zhǔn),而且還支持業(yè)界標(biāo)準(zhǔn)的編解碼器,能在實(shí)時(shí)視頻廣播應(yīng)用中確保源視頻到編碼視頻的最小延遲。   VSofts 市場營銷副總裁 Felix Nemirovsky
          • 關(guān)鍵字: 賽靈思  FPGA  IP核  

          NI全新高通道數(shù)擴(kuò)展機(jī)箱幫助LabVIEW FPGA和C系列產(chǎn)品擴(kuò)展I/O數(shù)

          •   美國國家儀器有限公司(National Instruments,簡稱NI)近日發(fā)布NI 9157和NI 9159 MXI-Express RIO機(jī)箱,以及NI 9148以太網(wǎng)RIO機(jī)箱,這三款新產(chǎn)品在現(xiàn)有的NI 9144 EtherCAT機(jī)箱基礎(chǔ)上,進(jìn)一步擴(kuò)展了NI基于各種總線的高通道數(shù)擴(kuò)展機(jī)箱系列產(chǎn)品。利用NI可重配置I/O(RIO)技術(shù),這些機(jī)箱將基于現(xiàn)場可編程門陣列(FPGA)的硬件和C系列I/O應(yīng)用到了需要數(shù)百甚至數(shù)千通道數(shù)的應(yīng)用。每一個(gè)擴(kuò)展機(jī)箱含有一個(gè)可用NI LabVIEW FPGA模塊編
          • 關(guān)鍵字: NI  FPGA  LabVIEW  

          基于FPGA的DDS設(shè)計(jì)及實(shí)現(xiàn)

          • 針對DDS頻率轉(zhuǎn)換時(shí)間短,分辨率高等優(yōu)點(diǎn),提出了基于FPGA芯片設(shè)計(jì)DDS系統(tǒng)的方案。該方案利用A1tera公司的QuartusⅡ開發(fā)軟件,完成DDS核心部分即相位累加器和ROM查找表的設(shè)計(jì),可得到相位連續(xù)、頻率可變的信號,并通過單片機(jī)配置FPGA的E2PROM完成對DDS硬件的下載,最后完成每個(gè)模塊與系統(tǒng)的時(shí)序仿真。經(jīng)過電路設(shè)計(jì)和模塊仿真,驗(yàn)證了設(shè)計(jì)的正確性。由于FPGA的可編程性,使得修改和優(yōu)化DDS的功能非常快捷。
          • 關(guān)鍵字: FPGA  DDS    

          Actel FPGA現(xiàn)可配合加密內(nèi)核對抗DPA攻擊

          •   愛特公司(Actel CorporaTIon) 宣布其多種FPGA產(chǎn)品現(xiàn)可搭配使用加密內(nèi)核,對抗差分功率分析(differential Power analysis, DPA)攻擊。采用SmartFusion、Fusion、ProASIC3和 IGLOO的設(shè)計(jì)人員現(xiàn)可通過使用IP Cores公司(IP Cores, Inc.)的AES、GCM或ECC IP內(nèi)核,保護(hù)其密鑰不受DPA攻擊。IP Cores是專業(yè)提供主要用于安全和加密領(lǐng)域的半導(dǎo)體用IP內(nèi)核的供應(yīng)商,而這些內(nèi)核則是首次商業(yè)化地用于FPGA的
          • 關(guān)鍵字: Actel  FPGA  

          基于Java的FPGA可編程嵌入式系統(tǒng)

          • 基于Java的FPGA可編程嵌入式系統(tǒng),  傳統(tǒng)的嵌入式產(chǎn)品只能實(shí)現(xiàn)某種特定的功能,不能滿足用戶可變的豐富多彩的應(yīng)用需求。為解決這個(gè)問題,本文設(shè)計(jì)并實(shí)現(xiàn)了一種使用Java作為軟件平臺的基于FPGA的可編程嵌入式系統(tǒng),以實(shí)現(xiàn)系統(tǒng)對多種本地應(yīng)用和網(wǎng)絡(luò)的
          • 關(guān)鍵字: 嵌入式  系統(tǒng)  可編程  FPGA  Java  基于  

          FPGA/CPLD狀態(tài)機(jī)的穩(wěn)定性設(shè)計(jì)

          • FPGA/CPLD狀態(tài)機(jī)的穩(wěn)定性設(shè)計(jì),  隨著大規(guī)模和超大規(guī)模FPGA/CPLD器件的誕生和發(fā)展,以HDL(硬件描述語言)為工具、FPGA/CPLD器件為載體的EDA技術(shù)的應(yīng)用越來越廣泛.從小型電子系統(tǒng)到大規(guī)模SOC(Systemonachip)設(shè)計(jì),已經(jīng)無處不在.在FPGA/CPLD設(shè)計(jì)中,狀
          • 關(guān)鍵字: 設(shè)計(jì)  穩(wěn)定性  狀態(tài)  FPGA/CPLD  

          FPGA全局時(shí)鐘資源相關(guān)原語及使用

          • FPGA全局時(shí)鐘資源相關(guān)原語及使用, FPGA全局時(shí)鐘資源一般使用全銅層工藝實(shí)現(xiàn),并設(shè)計(jì)了專用時(shí)鐘緩沖與驅(qū)動(dòng)結(jié)構(gòu),從而使全局時(shí)鐘到達(dá)芯片內(nèi)部的所有可配置單元(CLB)、I/O單元(IOB)和選擇性塊RAM(Block Select RAM)的時(shí)延和抖動(dòng)都為最小。為了適應(yīng)復(fù)雜
          • 關(guān)鍵字: 相關(guān)  使用  資源  時(shí)鐘  全局  FPGA  

          球形檢測器在空分復(fù)用MIMO通信系統(tǒng)中的應(yīng)用及FPGA實(shí)現(xiàn)

          •  空分復(fù)用 (SDM) MIMO 處理可顯著提高頻譜效率,進(jìn)而大幅增加無線通信系統(tǒng)的容量??辗謴?fù)用 MIMO 通信系統(tǒng)作為一種能夠大幅提升無線系統(tǒng)容量和連接可靠性的手段,近來吸引了人們的廣泛關(guān)注?! IMO 無線系統(tǒng)最
          • 關(guān)鍵字: 系統(tǒng)  應(yīng)用  FPGA  實(shí)現(xiàn)  通信  MIMO  檢測  空分  復(fù)用  球形  

          一種基于PXI的高速數(shù)字化儀模塊的設(shè)計(jì)應(yīng)用

          • PXI總線是NI公司在計(jì)算機(jī)外設(shè)總線PCI的基礎(chǔ)上實(shí)現(xiàn)的新一代儀器總線,已經(jīng)成為業(yè)界開放式總線的標(biāo)準(zhǔn),基于PX...
          • 關(guān)鍵字: PXI  LVDS  數(shù)字化儀模塊  FPGA  

          MathWorks發(fā)布在 MATLAB 和 Simulink中提供高級信號處理的新工具

          •   MathWorks面向使用MATLAB和Simulink設(shè)計(jì)高級信號處理和通信系統(tǒng)發(fā)布了重要的新功能。憑借常規(guī)的射頻子系統(tǒng)建模、高級電路包絡(luò)和諧波平衡分析方法,新的 SimRF 產(chǎn)品使系統(tǒng)架構(gòu)師可以使用 Simulink 來設(shè)計(jì)和驗(yàn)證完整的無線通信系統(tǒng)。對Simulink HDL Coder 進(jìn)行的一些重要更新,為自動(dòng) HDL 代碼生成添加了關(guān)鍵路徑分析和面積-速度優(yōu)化功能,以及輔助實(shí)現(xiàn) FPGA 全新的 Workflow Advisor。Communications Blockset、Signal
          • 關(guān)鍵字: Simulink  高級信號處理  FPGA  

          NI全新高通道數(shù)擴(kuò)展機(jī)箱幫助LabVIEW FPGA和C系列產(chǎn)品擴(kuò)展I/O數(shù)

          •   美國國家儀器有限公司(National Instruments,簡稱NI)近日發(fā)布NI 9157和NI 9159 MXI-Express RIO機(jī)箱,以及NI 9148以太網(wǎng)RIO機(jī)箱,這三款新產(chǎn)品在現(xiàn)有的NI 9144 EtherCAT機(jī)箱基礎(chǔ)上,進(jìn)一步擴(kuò)展了NI基于各種總線的高通道數(shù)擴(kuò)展機(jī)箱系列產(chǎn)品。利用NI可重配置I/O(RIO)技術(shù),這些機(jī)箱將基于現(xiàn)場可編程門陣列(FPGA)的硬件和C系列I/O應(yīng)用到了需要數(shù)百甚至數(shù)千通道數(shù)的應(yīng)用。每一個(gè)擴(kuò)展機(jī)箱含有一個(gè)可用NI LabVIEW FPGA模塊編
          • 關(guān)鍵字: NI  LabVIEW  FPGA  

          基于FPGA的雷達(dá)脈沖預(yù)分選器設(shè)計(jì)

          • 現(xiàn)代電子戰(zhàn)環(huán)境復(fù)雜,信號密度大,所以對信號的實(shí)時(shí)分選很重要。這里,提出一種基于關(guān)聯(lián)比較器的雷達(dá)信號分選方法,在實(shí)現(xiàn)多參數(shù)分選的同時(shí),也保證了實(shí)時(shí)性。詳細(xì)闡述了在Virtex 4系列FPGA上實(shí)現(xiàn)基于內(nèi)容可尋存儲器(CAM)的關(guān)聯(lián)比較器的途徑。
          • 關(guān)鍵字: FPGA  雷達(dá)  脈沖  預(yù)分選器    

          FPGA進(jìn)行開發(fā)嵌入式系統(tǒng)中用的幾個(gè)發(fā)展方向

          • 顧名思義,嵌入式系統(tǒng)指的是嵌入到系統(tǒng)內(nèi)部的計(jì)算機(jī)系統(tǒng),是面向特定應(yīng)用設(shè)計(jì)的專用計(jì)算機(jī)系統(tǒng)?! ≡缙诘那度胧较到y(tǒng)一般是以通用處理器或單片機(jī)為核心,在外圍電路中加入存儲器、功率驅(qū)動(dòng)器、通信接口、顯示接口
          • 關(guān)鍵字: FPGA  嵌入式系統(tǒng)  發(fā)展  方向    
          共6827條 323/456 |‹ « 321 322 323 324 325 326 327 328 329 330 » ›|

          flash fpga介紹

          您好,目前還沒有人創(chuàng)建詞條flash fpga!
          歡迎您創(chuàng)建該詞條,闡述對flash fpga的理解,并與今后在此搜索flash fpga的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();