<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁(yè)  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問(wèn)答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁(yè) >> 主題列表 >> flash fpga

          基于VHDL語(yǔ)言的99小時(shí)定時(shí)器設(shè)計(jì)及實(shí)現(xiàn)

          • 0引言傳統(tǒng)的定時(shí)器硬件連接比較復(fù)雜,可靠性差,而且計(jì)時(shí)時(shí)間短,難以滿足需要。本設(shè)計(jì)采用可編程芯片...
          • 關(guān)鍵字: FPGA  VHDL  定時(shí)器  EP1C6Q240C8  

          可編程ASIC器件主從式下載開發(fā)系統(tǒng)的設(shè)計(jì)

          • 1引言當(dāng)前在EDA領(lǐng)域,只要具備臺(tái)式或筆記本電腦并裝有工具軟件,就可以方便地對(duì)可編程ASIC(CPLD/FPGA)...
          • 關(guān)鍵字: FPGA  ASIC  嵌入式  EDA  ISP  

          基于FPGA實(shí)現(xiàn)VLIW微處理器的設(shè)計(jì)與實(shí)現(xiàn)

          • 超長(zhǎng)指令字VLIW(VeryLongInstructionWord)微處理器架構(gòu)采用了先進(jìn)的清晰并行指令設(shè)計(jì)[1]。VLIW微處...
          • 關(guān)鍵字: FPGA  VLIW  微處理器  

          多功能數(shù)據(jù)采集處理系統(tǒng)實(shí)現(xiàn)

          • 介紹了一種基于FPGA和DSP的多功能高速數(shù)據(jù)采集處理系統(tǒng)的設(shè)計(jì),該系統(tǒng)的數(shù)據(jù)采集速度最高可達(dá)到105 Msps ,運(yùn)算能力強(qiáng),通過(guò)更改軟件可適用于大部分的高速數(shù)據(jù)處理場(chǎng)合,具有較強(qiáng)的通用性。
          • 關(guān)鍵字: 數(shù)據(jù)采集  FPGA  DSP  201007  

          基于FPGA的移位寄存器流水線結(jié)構(gòu)FFT處理器設(shè)計(jì)與實(shí)

          • 設(shè)計(jì)實(shí)現(xiàn)了基于FPGA的256點(diǎn)定點(diǎn)FFT處理器。處理器以基-2算法為基礎(chǔ),通過(guò)采用高效的兩路輸入移位寄存器流水線結(jié)構(gòu),有效提高了碟形運(yùn)算單元的運(yùn)算效率,減少了寄存器資源的使用,提高了最大工作頻率,增大了數(shù)據(jù)吞吐量,并且使得處理器具有良好的可擴(kuò)展性。詳細(xì)描述了具體設(shè)計(jì)的算法結(jié)構(gòu)和各個(gè)模塊的實(shí)現(xiàn)。設(shè)計(jì)采用Verilog HDL作為硬件描述語(yǔ)言,采用QuartusⅡ設(shè)計(jì)仿真工具進(jìn)行設(shè)計(jì)、綜合和仿真,仿真結(jié)果表明,處理器工作頻率為72 MHz,是一種高效的FFT處理器IP核。
          • 關(guān)鍵字: FPGA  FFT  移位寄存器  流水線結(jié)構(gòu)    

          基于FPGA的增量型光電編碼器抗抖動(dòng)二倍頻電路設(shè)計(jì)

          • 從增量型光電編碼器的構(gòu)造特點(diǎn)出發(fā),分析其輸出信號(hào)中引起抖動(dòng)誤碼脈沖的原因。根據(jù)編碼器兩相輸出信號(hào)(A相、B相)不能同時(shí)跳變的特點(diǎn),設(shè)計(jì)了一種高精度抗抖動(dòng)二倍頻電路,能有效濾除信號(hào)的干擾脈沖。
          • 關(guān)鍵字: FPGA  增量  光電編碼器  抖動(dòng)    

          基于FPGA的彩色圖像Bayer變換實(shí)現(xiàn)

          • 利用飛速發(fā)展的FPGA技術(shù),在圖像采集前端實(shí)現(xiàn)Bayer插值變換。比較了常用的3種插值方法,選用計(jì)算復(fù)雜度較高但圖像質(zhì)量最佳的Optimal Recovery方法。采用Lattice的FPGA芯片LFECP2-M50,實(shí)現(xiàn)1 208×1 024圖像,12 f/s,實(shí)時(shí)Bayer轉(zhuǎn)換。給出了實(shí)時(shí)采集圖像結(jié)果,顯示了插值變換前的原始圖像,計(jì)算了變換后圖像的峰值信噪比PSNR。
          • 關(guān)鍵字: Bayer  FPGA  彩色圖像  變換    

          基于FPGA的自適應(yīng)譜線增強(qiáng)系統(tǒng)設(shè)計(jì)

          • 在此基于Altera公司的現(xiàn)場(chǎng)可編程門陣列(FPGA)芯片EP2C8F256C6,采用最小均方算法設(shè)計(jì)了自適應(yīng)譜線增強(qiáng)(ALE)處理系統(tǒng)。以FPGA為處理核心,實(shí)現(xiàn)數(shù)據(jù)采樣控制、數(shù)據(jù)延時(shí)控制、LMS核心算法和輸出存儲(chǔ)控制等。充分利用FPGA高速的數(shù)據(jù)處理能力和豐富的片內(nèi)乘法器,設(shè)計(jì)了LMS算法的流水線結(jié)構(gòu),保證整個(gè)系統(tǒng)具有高的數(shù)據(jù)吞吐能力和處理速度。并且通過(guò)編寫相應(yīng)的VHDL程序在QuartusⅡ軟件上進(jìn)行仿真,仿真結(jié)果表明該設(shè)計(jì)可以快速、準(zhǔn)確地實(shí)現(xiàn)自適應(yīng)譜線增強(qiáng)。
          • 關(guān)鍵字: FPGA  譜線  系統(tǒng)設(shè)計(jì)    

          基于FPGA和DDS技術(shù)的正弦信號(hào)發(fā)生器設(shè)計(jì)

          • 該系統(tǒng)由FPGA、單片機(jī)控制模塊、鍵盤、LED顯示組成,采用直接數(shù)字頻率合成(DDS),D/A以及實(shí)時(shí)計(jì)算波形值等技術(shù),設(shè)計(jì)出具有頻率設(shè)置功能,頻率步進(jìn)為100 Hz,頻率范圍為1 kHz~10 MHz之間正弦信號(hào)發(fā)生器。該系統(tǒng)的頻率范圍寬,步進(jìn)小,頻率精度較高。
          • 關(guān)鍵字: FPGA  DDS  正弦信號(hào)發(fā)生器    

          用Xilinx FPGA適應(yīng)不斷變化的廣播視頻潮流

          • 電視臺(tái)的演播室需要在不替換龐大的以同軸電纜構(gòu)建的基礎(chǔ)架構(gòu)的情況下,將模擬音頻和視頻轉(zhuǎn)換為數(shù)字音頻和...
          • 關(guān)鍵字: FPGA  廣播視頻  SDI  HD  AVC  視頻編碼  

          一種基于FPGA的自適應(yīng)譜線增強(qiáng)系統(tǒng)的設(shè)計(jì)

          • 0引言在信號(hào)采集與處理中,常只關(guān)心具有較窄帶寬和較強(qiáng)周期特征的信號(hào),這時(shí)寬帶噪聲成為必須濾除...
          • 關(guān)鍵字: 信號(hào)處理  FPGA  ALE  自適應(yīng)濾波  

          Altium開展系列設(shè)計(jì)培訓(xùn)會(huì) 獻(xiàn)力“全國(guó)電子專業(yè)人才設(shè)計(jì)與技能大賽”

          •   近日,作為2010年“全國(guó)電子專業(yè)人才設(shè)計(jì)與技能大賽”官方協(xié)辦單位,Altium于6月5日至6日及6月12日至13日分兩批在大連、北京、青島、蘇州、西安、鄭州、杭州和成都共八個(gè)城市為預(yù)選賽階段參賽選手提供“Altium Designer設(shè)計(jì)專題技術(shù)”培訓(xùn)輔導(dǎo)。此次Altium與工信部人才交流中心的戰(zhàn)略合作旨在為培養(yǎng)電子行業(yè)的創(chuàng)新型專業(yè)人才提供最先進(jìn)的技術(shù)和最好的平臺(tái)。   本次系列培訓(xùn)會(huì)共吸引了超過(guò)700位大賽選手和指導(dǎo)老師親臨現(xiàn)場(chǎng)。Altium專業(yè)技
          • 關(guān)鍵字: Altium  電子設(shè)計(jì)  FPGA  

          基于DSP與FPGA的全姿態(tài)指引儀的設(shè)計(jì)

          • 基于DSP與FPGA的全姿態(tài)指引儀的設(shè)計(jì), 本文設(shè)計(jì)了基于DSP與FPGA的系統(tǒng)結(jié)構(gòu),采用了軟硬件填充的圖形處理方法,先由DSP軟件完成圖形輪廓生成,然后FPGA硬件圖形處理器根據(jù)圖形輪廓完成耗時(shí)的圖形填充,使系統(tǒng)在實(shí)時(shí)性方面取得了很好的效果并使得系統(tǒng)運(yùn)算
          • 關(guān)鍵字: 指引  設(shè)計(jì)  姿態(tài)  FPGA  DSP  基于  

          基于FPGA的PPM調(diào)制解調(diào)系統(tǒng)設(shè)計(jì)

          • 光通信技術(shù)的蓬勃發(fā)展對(duì)調(diào)制解調(diào)技術(shù)提出了更高的要求,脈沖位置調(diào)制(PPM)有較高的平均功率利用率,傳輸速率以及較強(qiáng)的抗干擾能力,能夠很好地滿足實(shí)際需求。從脈沖位置調(diào)制的基本原理出發(fā),基于FPGA對(duì)PPM調(diào)制解調(diào)系統(tǒng)進(jìn)行設(shè)計(jì),特別是對(duì)PPM的幀同步進(jìn)行詳細(xì)說(shuō)明,并用Verilog HDL語(yǔ)言對(duì)系統(tǒng)進(jìn)行時(shí)序仿真,驗(yàn)證了設(shè)計(jì)的正確性。
          • 關(guān)鍵字: FPGA  PPM  調(diào)制解調(diào)  系統(tǒng)設(shè)計(jì)    

          基于FPGA的RGB到Y(jié)CrCb顏色空間轉(zhuǎn)換

          • RGB基于三基色原理,顏色實(shí)現(xiàn)簡(jiǎn)單,在計(jì)算機(jī)、電視機(jī)顯示系統(tǒng)中應(yīng)用廣泛,YCrCb將顏色的亮度信號(hào)與色度信號(hào)分離,易于實(shí)現(xiàn)壓縮,方便傳輸和處理。在視頻壓縮、傳輸?shù)葢?yīng)用中經(jīng)常需要實(shí)現(xiàn)RGB與YCbCr顏色空間的相互變換。這里推導(dǎo)出一種適合在FPGA上實(shí)現(xiàn)從RGB到Y(jié)CbCr。顏色空間變換的新算法,采用單片F(xiàn)PGA完成電路設(shè)計(jì),利用FPGA內(nèi)嵌DSP核實(shí)現(xiàn)乘法運(yùn)算,提高了轉(zhuǎn)換算法的運(yùn)行速度。
          • 關(guān)鍵字: YCrCb  FPGA  RGB  顏色空    
          共6827條 333/456 |‹ « 331 332 333 334 335 336 337 338 339 340 » ›|

          flash fpga介紹

          您好,目前還沒(méi)有人創(chuàng)建詞條flash fpga!
          歡迎您創(chuàng)建該詞條,闡述對(duì)flash fpga的理解,并與今后在此搜索flash fpga的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();